skip to main content
research-article

Load-balanced clock tree synthesis with adjustable delay buffer insertion for clock skew reduction in multiple dynamic supply voltage designs

Published:05 July 2012Publication History
Skip Abstract Section

Abstract

Power consumption is known to be a crucial issue in current IC designs. To tackle this problem, Multiple Dynamic Supply Voltage (MDSV) designs are proposed as an efficient solution for power savings. However, the increasing variability of clock skew during the switching of power modes leads to an increase in the complication of clock skew reduction in MDSV designs. In this article, we propose a load-balanced clock tree synthesizer with Adjustable Delay Buffer (ADB) insertion for clock skew reduction in MDSV designs. The clock tree synthesizer adopts the Minimum Spanning Tree (MST) metric to estimate the interconnect capacitance and execute the graph-theoretic clustering. The power-mode-guided optimization is also embedded into the clock tree synthesizer for improving additional area overhead in the step of ADB insertion. After constructing the initial buffered clock tree, we insert the ADBs with delay value assignments to reduce clock skew in MDSV designs. The ADBs can be used to produce additional delays, hence the clock latencies and skew become tunable in a clock tree. An efficient algorithm of ADB insertion for the minimization of clock skew, area, and runtime in MDSV designs has been presented. Comparing with the state-of-the-art algorithm of ADB insertion, experimental results show maximum 42.40% area overhead improvement. With the power-mode-guided optimization, the maximum improvement of area overhead can increase to 47.87%.

References

  1. Chao, T.-H., Hsu, Y.-C., Ho, J.-M., and B., K. A. 1992. Zero skew clock routing with minimum wirelength. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 39, 11, 799--814.Google ScholarGoogle Scholar
  2. Chen, Y. P. and Wong, D. F. 1996. An algorithm for zero-skew clock tree routing with buffer insertion (edtc'96). In Proceedings of the European Design and Test Conference. IEEE Computer Society, Los Alamitos, CA, 230--236. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Edahiro, M. 1993. A clustering-based optimization algorithm in zero-skew routings. In Proceedings of the ACM/IEEE Design Automation Conference (DAC'93). ACM, New York, 612--616. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Edahiro, M. 1994. An efficient zero-skew routing algorithm. In Proceedings of the ACM/IEEE Design Automation Conference (DAC'94). ACM, New York, 375--380. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Hu, S. and Hu, J. 2007. Unified adaptivity optimization of clock and logic signals. In Proceedings of the IEEE International Conference on Computer-Aided Design (ICCAD'07). IEEE Computer Society, Los Alamitos, CA, 125--130. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Jain, A. K., Murty, M. N., and Flynn, P. J. 1999. Data clustering: a review. ACM Comput. Surv. 31, 3, 264--323. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Johnston, T. K. 1999. Clock tree adjustable buffer. U. S. Patent 7571406B2.Google ScholarGoogle Scholar
  8. Khandelwal, V. and Srivastava, A. 2007. Variability-Driven formulation for simultaneous gate sizing and post-silicon tunability allocation. In Proceedings of the International Symposium on Physical Design (ISPD'07). ACM, New York, 11--18. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Kurd, N. A., Barkarullah, J. S., Dizon, R. O., Fletcher, T. D., and Madland, P. D. 2001. A multigigahertz clocking scheme for the pentium 4 microprocessor. IEEE J. Solid-State Circ. 36, 11, 1647--1653.Google ScholarGoogle ScholarCross RefCross Ref
  10. Liu, I.-M., Chou, T.-L.,Wong, D. F., and Aziz, A. 2000. Zero-skew clock tree construction by simultaneous routing, wire sizing and buffer insertion. In Proceedings of the International Symposium on Physical Design (ISPD'00). ACM, New York, 33--38. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Lung, C.-L., Zeng, Z.-Y., Chou, C.-H., and Chang, S.-C. 2010. Clock skew optimization considering complicated power modes. In Proceedings of the Conference on Design, Automation, and Test in Europe (DATE'10). 1474--1480. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Mehta, A. D., Chen, Y.-P., Menezes, N., Wong, D. F., and Pileggi, L. T. 1997. Clustering and load balancing for buffered clock tree synthesis. In Proceedings IEEE International Conference on Computer Design (ICCD'97). IEEE Computer Society, Los Alamitos, CA, USA, 217--223. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. R.-S., Tsay. 1993. An exact zero-skew clock routing algorithm. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 12, 2, 242--249. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Roberts, G. N. 1994. Adjustable buffer driver. U. S. Patent 5361003.Google ScholarGoogle Scholar
  15. Shelar, R. S. 2007. An efficient clustering algorithm for low power clock tree synthesis. In Proceedings of the International Symposium on Physical Design (ISPD'07). ACM, New York, 181--188. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Su, Y.-S., Hon, W.-K., Yang, C.-C., Chang, S.-C., and Chang, Y.-J. 2010. Clock skew minimization in multi-voltage mode designs using adjustable delay buffers. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 29, 12, 1921--1930. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Takahashi, E., Kasai, Y., Murakawa, M., and Higuchi, T. 2003. A post-silicon clock timing adjustment using genetic algorithms. In Digest of Technical Papers of the Symposium on VLSI Circuits. 13--16.Google ScholarGoogle Scholar
  18. Tam, S., Limaye, R. D., and Desai, U. N. 2003. Clock generation and distribution for the 130-nm itaniumr 2 processor with 6-mb on-die l3 cache. IEEE J. Solid-State Circ. 39, 4, 636--642.Google ScholarGoogle ScholarCross RefCross Ref
  19. Tam, S., Rusu, S., Desai, U. N., Kim, R., Zhang, J., and Young, I. 2000. Clock generation and distribution for the first ia-64 microprocessor. IEEE J. Solid-State Circ. 35, 11, 1545--1552.Google ScholarGoogle ScholarCross RefCross Ref
  20. Tsai, J.-L., Chen, T.-H., and C. C.-P., C. 2004. Zero skew clock-tree optimization with buffer insertion/sizing and wire sizing. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 23, 4, 565--572. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Tsai, J.-L., Zhang, L., and Che, C. C.-P. 2005. Statistical timing analysis driven post-silicon-tunable clock-tree synthesis. In Proceedings of the IEEE International Conference on Computer-Aided Design (ICCAD'05). IEEE Computer Society, Los Alamitos, CA, 575--581. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Load-balanced clock tree synthesis with adjustable delay buffer insertion for clock skew reduction in multiple dynamic supply voltage designs

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in

    Full Access

    • Published in

      cover image ACM Transactions on Design Automation of Electronic Systems
      ACM Transactions on Design Automation of Electronic Systems  Volume 17, Issue 3
      Special section on verification challenges in the concurrent world
      June 2012
      377 pages
      ISSN:1084-4309
      EISSN:1557-7309
      DOI:10.1145/2209291
      Issue’s Table of Contents

      Copyright © 2012 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 5 July 2012
      • Accepted: 1 February 2012
      • Revised: 1 December 2011
      • Received: 1 April 2011
      Published in todaes Volume 17, Issue 3

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article
      • Research
      • Refereed

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader