skip to main content
10.1145/2247684.2247690acmconferencesArticle/Chapter ViewAbstractPublication PagesmspConference Proceedingsconference-collections
research-article

Rank idle time prediction driven last-level cache writeback

Published: 16 June 2012 Publication History

Abstract

In modern DDRx memory systems, memory write requests can cause significant performance loss by increasing the memory access latency for subsequent read requests targeting the same device. In this paper, we propose a rank idle time prediction driven last-level cache writeback technique. This technique uses a rank idle time predictor to predict long phases of idle rank cycles. The scheduled dirty cache blocks generated from last-level cache are written back during the predicted long idle rank period. This technique allows servicing write request at the point that minimize the delay it caused to the following read requests. Write-induced interference can be significantly reduced by using our technique.
We evaluate our technique using cycle-accurate full-system simulator and SPEC CPU2006 benchmarks. The results shows the technique improves performance in an eight-core system with memory-intensive workloads on average by 10.5% and 10.1% over conventional writeback using two-rank and four-rank DRAM configurations respectively.

References

[1]
DDR3 SDRAM standard, JEDEC JESD79-3. http://www.jedec.org.
[2]
S. B. Jacob and D. T. Wang. The Memory Systems - Cache, Dram, Disk. Elseiver, 2008.
[3]
V. Cuppu, B. Jacob, B. Davis, and T. Mudge. High-performance DRAMs in workstation environments. IEEE Trans. Comput., 50:1133--1153, November 2001.
[4]
J. L. Henning. SPEC CPU2006 benchmark descriptions. SIGARCH Comput. Archit. News, 34:1--17, September 2006.
[5]
I. Hur and C. Lin. Adaptive history-based memory schedulers. In Proceedings of the 37th annual IEEE/ACM International Symposium on Microarchitecture, MICRO 37, pages 343--354, Washington, DC, USA, 2004. IEEE Computer Society.
[6]
Intel Corporation. Intel 875P chipset datasheet: Intel 82875P memory controller hub (MCH). 2004.
[7]
Intel Corporation. Intel 945G/945GZ/945GC/945P/945PL express chipset family datasheet: Intel 82945G/82945GZ/82945GC graphics and memory controller hub (GMCH) and Intel 82945P/82945PL memory controller hub (MCH). 2008.
[8]
S. M. Khan, Y. Tian, and D. A. Jimenez. Sampling dead block prediction for last-level caches. In Proceedings of the 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture, MICRO '43, pages 175--186, Washington, DC, USA, 2010. IEEE Computer Society.
[9]
C. J. Lee, V. Narasiman, E. Ebrahimi, O. Mutlu, and Y. N. Patt. DRAM-aware last level cache writeback: Reducing write-caused interference in memory system. In HPS Technical Report, TR-HPS-2010-002.
[10]
H.-H. S. Lee, G. S. Tyson, and M. K. Farrens. Eager writeback - a technique for improving bandwidth utilization. In Proceedings of the 33rd annual ACM/IEEE international symposium on Microarchitecture, MICRO '33, pages 11--21, New York, NY, USA, 2000. ACM.
[11]
W.-F. Lin, S. K. Reinhardt, and D. Burger. Designing a modern memory hierarchy with hardware prefetching. IEEE Trans. Comput., 50:1202--1218, November 2001.
[12]
O. Mutlu and T. Moscibroda. Parallelism-aware batch scheduling: Enhancing both performance and fairness of shared DRAM systems. In Proceedings of the 35th Annual International Symposium on Computer Architecture, ISCA '08, pages 63--74, Washington, DC, USA, 2008. IEEE Computer Society.
[13]
C. Natarajan, B. Christenson, and F. Briggs. A study of performance impact of memory controller features in multi-processor server environment. In Proceedings of the 3rd workshop on Memory performance issues: in conjunction with the 31st international symposium on computer architecture, WMPI '04, pages 80--87, New York, NY, USA, 2004. ACM.
[14]
K. J. Nesbit, N. Aggarwal, J. Laudon, and J. E. Smith. Fair queuing memory systems. In Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 39, pages 208--222, Washington, DC, USA, 2006. IEEE Computer Society.
[15]
A. Patel, F. Afram, S. Chen, and K. Ghose. MARSSx86: A full system simulator for x86 CPUs. In Proceedings of the 2011 Design Automation Conference, June 2011.
[16]
S. Rixner, W. J. Dally, U. J. Kapasi, P. Mattson, and J. D. Owens. Memory access scheduling. In Proceedings of the 27th annual international symposium on Computer architecture, ISCA '00, pages 128--138, New York, NY, USA, 2000. ACM.
[17]
P. Rosenfeld, E. Cooper-Balis, and B. Jacob. DRAMSim2: A cycle accurate memory system simulator. Computer Architecture Letters, PP(99):1, 2011.
[18]
J. Shao and B. T. Davis. A burst scheduling access reordering mechanism. In Proceedings of the 2007 IEEE 13th International Symposium on High Performance Computer Architecture, pages 285--294, Washington, DC, USA, 2007. IEEE Computer Society.
[19]
T. Sherwood, E. Perelman, G. Hamerly, and B. Calder. Automatically characterizing large scale program behavior. In Proceedings of the 10th International Conference on Architectural Support for Programming Languages and Operating Systems, October 2002.
[20]
J. Stuecheli, D. Kaseridis, D. Daly, H. C. Hunter, and L. K. John. The virtual write queue: coordinating DRAM and last-level cache policies. In Proceedings of the 37th annual international symposium on Computer architecture, ISCA '10, pages 72--82, New York, NY, USA, 2010. ACM.
[21]
K. Sudan, N. Chatterjee, D. Nellans, M. Awasthi, R. Balasubramonian, and A. Davis. Micro-pages: increasing DRAM efficiency with locality-aware data placement. In Proceedings of the fifteenth edition of ASPLOS on Architectural support for programming languages and operating systems, ASPLOS '10, pages 219--230, New York, NY, USA, 2010. ACM.
[22]
Y. Xu, A. S. Agarwal, and B. T. Davis. Prediction in dynamic SDRAM controller policies. In Proceedings of the 9th International Workshop on Embedded Computer Systems: Architectures, Modeling, and Simulation, SAMOS '09, pages 128--138, Berlin, Heidelberg, 2009. Springer-Verlag.

Cited By

View all
  • (2023)Soil mineral nitrogen, soil urease activity, nitrogen losses and nitrogen footprint under machine-planted rice with side-deep fertilizationPlant and Soil10.1007/s11104-023-06263-5494:1-2(185-202)Online publication date: 12-Sep-2023
  • (2022)DR-STRaNGe: End-to-End System Design for DRAM-based True Random Number Generators2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA)10.1109/HPCA53966.2022.00087(1141-1155)Online publication date: Apr-2022
  • (2019)Bandwidth-Aware Last-Level Caching: Efficiently Coordinating Off-Chip Read and Write Bandwidth2019 IEEE 37th International Conference on Computer Design (ICCD)10.1109/ICCD46524.2019.00022(109-118)Online publication date: Nov-2019
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
MSPC '12: Proceedings of the 2012 ACM SIGPLAN Workshop on Memory Systems Performance and Correctness
June 2012
82 pages
ISBN:9781450312196
DOI:10.1145/2247684
  • General Chair:
  • Lixin Zhang,
  • Program Chair:
  • Onur Mutlu
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 16 June 2012

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. LLC
  2. memory management
  3. writeback

Qualifiers

  • Research-article

Conference

PLDI '12
Sponsor:

Acceptance Rates

Overall Acceptance Rate 6 of 20 submissions, 30%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)8
  • Downloads (Last 6 weeks)1
Reflects downloads up to 18 Feb 2025

Other Metrics

Citations

Cited By

View all
  • (2023)Soil mineral nitrogen, soil urease activity, nitrogen losses and nitrogen footprint under machine-planted rice with side-deep fertilizationPlant and Soil10.1007/s11104-023-06263-5494:1-2(185-202)Online publication date: 12-Sep-2023
  • (2022)DR-STRaNGe: End-to-End System Design for DRAM-based True Random Number Generators2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA)10.1109/HPCA53966.2022.00087(1141-1155)Online publication date: Apr-2022
  • (2019)Bandwidth-Aware Last-Level Caching: Efficiently Coordinating Off-Chip Read and Write Bandwidth2019 IEEE 37th International Conference on Computer Design (ICCD)10.1109/ICCD46524.2019.00022(109-118)Online publication date: Nov-2019
  • (2014)Management of the last level cache for multimedia application system2014 12th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT)10.1109/ICSICT.2014.7021348(1-4)Online publication date: Oct-2014

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Figures

Tables

Media

Share

Share

Share this Publication link

Share on social media