skip to main content
10.1145/2380403.2380430acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
research-article

Energy efficient special instruction support in an embedded processor with compact isa

Published: 07 October 2012 Publication History

Abstract

The use of special instructions that execute complex operation patterns is a common approach in application specific processor design to improve performance and efficiency. However, in an embedded generic processor with compact instruction set architecture (ISA), such instructions may lead to large overhead as: i) more bits are needed to encode the extra opcodes and operands, resulting in wider instructions; ii) more register file (RF) ports are required to provide the extra operands to the function units. Such overhead may increase energy consumption considerably.
In this paper, we propose to support flexible operation pair patterns in a processor with a compact 24-bit RISC-like ISA using: i) a partially reconfigurable decoder that exploits the locality of patterns to reduce the requirement for opcode space; ii) a software controlled bypass network to reduce the requirement for operand encoding and RF ports. We also propose an energy-aware compiler backend design for the proposed architecture that performs pattern selection and bypass-aware scheduling to generate energy efficient codes. Though proposed design imposes extra constraints on the operation patterns, the experimental results show that the average dynamic instruction count is reduced by over 25%, which is only about 2% less than the architecture without such constraints. Due to the low overhead, the total energy of the proposed architecture reduces by an average of 15.8% compared to the RISC baseline, while the one without constraints achieves almost no energy improvement.

References

[1]
J. R. Goodman and W. Hsu. Code scheduling and register allocation in large basic blocks. In Proceedings of the 2nd International Conference on Supercomputing, pages 442--452, 1988.
[2]
M. Halldorsson and J. Radhakrishnan. Greed is good: approximating independent sets in sparse and bounded-degree graphs. In Proceedings of the 26th Symposium on Theory of Computing, pages 439--448, 1994.
[3]
C. Lattner and V. Adve. LLVM: A compilation framework for lifelong program analysis & transformation. In Proceedings of the 2004 International Symposium on Code Generation and Optimization, pages 75--86, 2004.
[4]
L. Pozzi and P. Ienne. Exploiting pipelining to relax register-file port constraints of instruction-set extensions. In Proceedings of the 2005 International Conference on Compilers, Architectures and Synthesis for Embedded Systems, pages 2--10, 2005.
[5]
ARM Ltd. ARM Thumb Instruction Set. http://www.arm.com/.
[6]
CACTI. cacti 5.3, rev 174. http://quid.hpl.hp.com:9081/cacti/.
[7]
D. She et al. Scheduling for register file energy minimization in explicit datapath architectures. In Design, Automation Test in Europe Conference Exhibition 2012, 2012.
[8]
G. Dasika et al. PEPSC: A power-efficient processor for scientific computing. In Proceedings of the 2011 International Conference on Parallel Architectures and Compilation Techniques, pages 101--110, 2011.
[9]
H. P. Huynh et al. An efficient framework for dynamic reconfiguration of instruction-set customization. In Proceedings of the 2007 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, pages 135--144, 2007.
[10]
J. Balfour et al. An energy-efficient processor architecture for embedded systems. Computer Architecture Letters, 7(1):29--32, 2007.
[11]
J. Cong et al. Architecture and compilation for data bandwidth improvement in configurable embedded processors. In Proceedings of the 2005 International Conference on Computer-Aided Design, pages 263--270, 2005.
[12]
J.Balfour et al. Operand registers and explicit operand forwarding. Computer Architecture Letters, 8(2):60--63, 2009.
[13]
K. Atasu et al. Automatic application-specific instruction-set extensions under microarchitectural constraints. In Proceedings of the 40th Design Automation Conference, pages 256--261, 2003.
[14]
K. Karuri et al. Increasing data-bandwidth to instruction-set extensions through register clustering. In Proceedings of the 2007 International Conference on Computer-Aided Design, pages 166--171, 2007.
[15]
K. Karuri et al. A generic design flow for application specific processor customization through instruction-set extensions. In Proceedings of the 9th International Workshop on Embedded Computer Systems, pages 204--214, 2009.
[16]
L. Bauer et al. Rispp: Rotating instruction set processing platform. In Proceedings of the 44th Design Automation Conference, pages 791 --796, 2007.
[17]
M. Woh et al. AnySP: anytime anywhere anyway signal processing. In Proceedings of the 36th International Symposium on Computer Architecture, pages 128--139, 2009.
[18]
N. Clark et al. Processor acceleration through automated instruction set customization. In Proceedings of the 36th International Symposium on Microarchitecture, pages 129--140, 2003.
[19]
N. Clark et al. Application-specific processing on a general-purpose core via transparent instruction set customization. In Proceedings of the 37th International Symposium on Microarchitecture, pages 30--40, 2004.
[20]
N. Clark et al. An architecture framework for transparent instruction set customization in embedded processors. In Proceedings of the 32nd International Symposium on Computer Architecture, pages 272--283, 2005.
[21]
R. Jayaseelan et al. Exploiting forwarding to improve data bandwidth of instruction-set extensions. In Proceedings of the 43rd Design Automation Conference, pages 43--48, 2006.
[22]
R. Kastner et al. Instruction generation for hybrid reconfigurable systems. ACM Trans. on Design Automation of Electronic Systems, 7(4):605--627, 2002.
[23]
R. Leupers et al. A design flow for configurable embedded processors based on optimized instruction set extension synthesis. In Design, Automation and Test in Europe, 2006, pages 581--586, 2006.
[24]
S. Park et al. Bypass aware instruction scheduling for register file power reduction. In Proceedings of the 2006 Conference on Language, Compilers, and Tool Support for Embedded Systems, pages 173--181, 2006.
[25]
Y.Guo et al. A graph covering algorithm for a coarse grain reconfigurable system. In Proceedings of the 2003 Conference on Language, Compiler, and Tool for Embedded Systems, pages 199--208, 2003.
[26]
P. Yu and T. Mitra. Characterizing embedded applications for instruction-set extensible processors. In Proceedings of the 41st Design Automation Conference, pages 723--728, 2004.

Cited By

View all
  • (2016)A configurable SIMD architecture with explicit datapath for intelligent learning2016 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS)10.1109/SAMOS.2016.7818343(156-163)Online publication date: Jul-2016
  • (2015)A Co-Design Framework with OpenCL Support for Low-Energy Wide SIMD ProcessorJournal of Signal Processing Systems10.1007/s11265-014-0957-180:1(87-101)Online publication date: 1-Jul-2015
  • (2015)A Low-Energy Wide SIMD Architecture with Explicit DatapathJournal of Signal Processing Systems10.1007/s11265-014-0950-880:1(65-86)Online publication date: 1-Jul-2015
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
CASES '12: Proceedings of the 2012 international conference on Compilers, architectures and synthesis for embedded systems
October 2012
230 pages
ISBN:9781450314244
DOI:10.1145/2380403
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 07 October 2012

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. code generation
  2. low power
  3. reconfigurable architecture
  4. special instruction

Qualifiers

  • Research-article

Conference

ESWEEK'12
ESWEEK'12: Eighth Embedded System Week
October 7 - 12, 2012
Tampere, Finland

Acceptance Rates

Overall Acceptance Rate 52 of 230 submissions, 23%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)5
  • Downloads (Last 6 weeks)0
Reflects downloads up to 28 Feb 2025

Other Metrics

Citations

Cited By

View all
  • (2016)A configurable SIMD architecture with explicit datapath for intelligent learning2016 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS)10.1109/SAMOS.2016.7818343(156-163)Online publication date: Jul-2016
  • (2015)A Co-Design Framework with OpenCL Support for Low-Energy Wide SIMD ProcessorJournal of Signal Processing Systems10.1007/s11265-014-0957-180:1(87-101)Online publication date: 1-Jul-2015
  • (2015)A Low-Energy Wide SIMD Architecture with Explicit DatapathJournal of Signal Processing Systems10.1007/s11265-014-0950-880:1(65-86)Online publication date: 1-Jul-2015
  • (2014)Customized pipeline and instruction set architecture for embedded processing enginesThe Journal of Supercomputing10.1007/s11227-013-1075-868:2(948-977)Online publication date: 1-May-2014
  • (2013)An energy-efficient method of supporting flexible special instructions in an embedded processor with compact ISAACM Transactions on Architecture and Code Optimization10.1145/2509420.250942610:3(1-25)Online publication date: 16-Sep-2013
  • (2013)OpenCL code generation for low energy wide SIMD architectures with explicit datapath2013 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS)10.1109/SAMOS.2013.6621141(322-329)Online publication date: Jul-2013

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Figures

Tables

Media

Share

Share

Share this Publication link

Share on social media