skip to main content
10.1145/2380445.2380487acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
research-article

Performance enhancement under power constraints using heterogeneous CMOS-TFET multicores

Authors Info & Claims
Published:07 October 2012Publication History

ABSTRACT

Device level heterogeneity promises high energy efficiency over a larger range of voltages than a single device technology alone can provide. In this paper, starting from device models, we first present ground-up modeling of CMOS and TFET cores, and verify this model against existing processors. Using our core models, we construct a 32-core TFET-CMOS heterogeneous multicore. We then show that it is a very challenging task to identify the ideal runtime configuration to use in such a heterogeneous multicore, which includes finding the best number/type of cores to activate and the corresponding voltages/frequencies to select for these cores. In order to effectively utilize this heterogeneous processor, we propose a novel automated runtime scheme. Our scheme is designed to automatically improve the performance of applications running on heterogeneous CMOS-TFET multicores operating under a fixed power budget, without requiring any effort from the application programmer or the user. Our scheme combines heterogeneous thread-to-core mapping, dynamic work partitioning, and dynamic power partitioning to identify energy efficient operating points. With simulations we show that our runtime scheme can enable a CMOS-TFET multicore to serve a diversity of workloads with high energy efficiency and achieve 21% average speedup over the best performing equivalent homogeneous multicore.

References

  1. S. Borkar. Thousand Core Chips: A Technology Perspective. In DAC, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. H. Wei et al. Scaling with Design Constraints: Predicting the Future of Big Chips. IEEE Micro, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. D.K Mohata et al. Demonstration of MOSFET-Like On-Current Performance in Arsenide/Antimonide Tunnel FETs with Staggered Hetero-junctions for 300mV Logic Applications. In IEDM, 2011.Google ScholarGoogle Scholar
  4. V. Saripalli et al. An Energy-Efficient Heterogeneous CMP based on Hybrid TFET-CMOS cores. In DAC, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. International Technology Roadmap for Semiconductors. 2011.Google ScholarGoogle Scholar
  6. B. Wheeler. Calxeda Spins 4W Server-on-a-Chip. In Microprocessor Report, Nov 2011.Google ScholarGoogle Scholar
  7. V. Aslot et al. SPECOMP: A New Benchmark Suite for Measuring Parallel Computer Performance. In International Workshop on OpenMP Applications and Tools, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. S. Mookerjea et al. Experimental Demonstration of 100nm Channel Length In0.53Ga0.47As-based Vertical Inter-band Tunnel Field Effect Transistors (TFETs) for Ultra Low-Power Logic and SRAM Applications. In IEDM, 2009.Google ScholarGoogle Scholar
  9. R. Gandhi et al. Vertical Si-Nanowire n -Type Tunneling FETs With Low Subthreshold Swing ( ≤ 50mV/decade ) at Room Temperature. IEDM, 2011.Google ScholarGoogle Scholar
  10. D.K. Mohata et al. Self-aligned Gate NanoPillar In0.53Ga0.47As Vertical Tunnel Transistor. In DRC, 2011.Google ScholarGoogle Scholar
  11. M. Luisier and G. Klimeck. Performance Comparisons of Tunneling Field-Effect Transistors Made of InSb, Carbon, and GaSb-InAs Broken Gap Heterostructures. In IEDM, 2009.Google ScholarGoogle ScholarCross RefCross Ref
  12. U. E. Avci et al. Comparison of Performance, Switching Energy and Process Variations for the TFET and MOSFET in Logic. In VLSIT, 2011.Google ScholarGoogle Scholar
  13. Intel Corporation. Intel 22nm 3-D Tri-Gate Transistor Technology, May 2011.Google ScholarGoogle Scholar
  14. M. LaPedus. TSMC to make FinFETs in 450-mm fab, February 2011.Google ScholarGoogle Scholar
  15. C.C. Wu et al. High Performance 22/20nm FinFET CMOS Devices with Advanced high-K/metal Gate Scheme. In IEDM, 2010.Google ScholarGoogle ScholarCross RefCross Ref
  16. Synopsys. TCAD Sentaurus Device Manual, Release: C-2009.06, 2009.Google ScholarGoogle Scholar
  17. Wei Zhao and Yu Cao. New Generation of Predictive Technology Model for Sub-45nm Design Exploration. In ISQED, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Intel Corporation. Intel Atom Processor Z5xx Series - Datasheet, June 2010.Google ScholarGoogle Scholar
  19. Xilinx. Xilinx Power Tools Tutorial: Spartan and Virtex 6 FPGAs.Google ScholarGoogle Scholar
  20. A. Sinha and A.P. Chandrakasan. JouleTrack-a Web based tool for software energy profiling. In DAC, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. S. Datta et al. Ultrahigh-Speed 0.5 V Supply Voltage In0.7Ga0.3As Quantum-Well Transistors on Silicon Substrate. IEEE Electron Device Letters, 28(8):685 --687, Aug. 2007.Google ScholarGoogle ScholarCross RefCross Ref
  22. V. Saripalli et al. Variation-tolerant Ultra Low-Power Heterojunction Tunnel FET SRAM Design. Nanoscale Architectures, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. C. D. Polychronopoulos and D. J. Kuck. Guided self-scheduling: A practical scheduling scheme for parallel supercomputers. IEEE Trans. Comput., 36(12), December 1987. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. P. S. Magnusson et al. Simics: A Full System Simulation Platform. Computer, 35, February 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. W. Kim et al. System Level Analysis of Fast, Per-Core DVFS using On-Chip Switching Regulators. In ISCA, 2008.Google ScholarGoogle Scholar
  26. OpenMP. OpenMP, http://www.openmp.org.Google ScholarGoogle Scholar
  27. R. Kumar et al. Single-ISA Heterogeneous Multi-Core Architectures: The Potential for Processor Power Reduction. In MICRO, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. T. Y. Morad et al. Performance, Power Efficiency and Scalability of Asymmetric Cluster Chip Multiprocessors. IEEE Comput. Archit. Lett., 5, January 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. E. Ipek et al. Core Fusion: Accommodating Software Diversity in Chip Multiprocessors. In ISCA, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. M. A. Suleman et al. Accelerating Critical Section Execution with Asymmetric Multi-core Architectures. In ASPLOS, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. E. S. Chung et al. Single-Chip Heterogeneous Computing: Does the Future Include Custom Logic, FPGAs, and GPGPUs? In MICRO, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. Ganesh Venkatesh et al. Conservation Cores: Reducing the Energy of Mature Computations. In ASPLOS, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. E. Humenay et al. Impact of Process Variations on Multicore Performance Symmetry. In DATE, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. R. Teodorescu and J. Torrellas. Variation-Aware Application Scheduling and Power Management for Chip Multiprocessors. In ISCA, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. U. R. Karpuzcu et al. The BubbleWrap many-core: popping cores for sequential acceleration. In MICRO, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. K. Swaminathan et al. Improving Energy Efficiency of Multi-Threaded Applications using Heterogeneous CMOS-TFET Multicores. In ISLPED, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  37. S. Balakrishnan et al. The Impact of Performance Asymmetry in Emerging Multicore Architectures. In ISCA, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  38. M. Bhadauria et al. Accomodating Diversity in CMPs with Heterogeneous Frequencies. In HiPEAC, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  39. C-K. Luk et al. Qilin: Exploiting Parallelism on Heterogeneous Multiprocessors with Adaptive Mapping. In MICRO, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  40. R. Kumar et al. Single-ISA Heterogeneous Multi-Core Architectures for Multithreaded Workload Performance. In ISCA, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  41. M. D. Hill and M. R. Marty. Amdahl's Law in the Multicore Era. Computer, 41, July 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Performance enhancement under power constraints using heterogeneous CMOS-TFET multicores

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        CODES+ISSS '12: Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
        October 2012
        596 pages
        ISBN:9781450314268
        DOI:10.1145/2380445

        Copyright © 2012 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 7 October 2012

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        CODES+ISSS '12 Paper Acceptance Rate48of163submissions,29%Overall Acceptance Rate280of864submissions,32%

        Upcoming Conference

        ESWEEK '24
        Twentieth Embedded Systems Week
        September 29 - October 4, 2024
        Raleigh , NC , USA

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader