skip to main content
10.1145/2429384.2429427acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

An efficient algorithm for library-based cell-type selection in high-performance low-power designs

Published:05 November 2012Publication History

ABSTRACT

In this paper, we present a complete framework for cell-type selection in modern high-performance low-power designs with library-based timing model. Our framework can be divided into three stages. First, the best design performance with all possible cell-types is achieved by a Minimum Clock Period Lagrangian Relaxation (Min-Clock LR) method, which extends the traditional LR approach to conquer the difficulties in discrete scenario. Min-Clock LR fully leverages the prevalent many-core systems as the main body of its workload is composed of independent tasks. Upon a timing-valid design, we solve the timing-constrained power optimization problem by min-cost network flow. Especially, we identify and address the core issues in applying network flow technique to library-based timing model. Finally, a power prune technique is developed to take advantage of the residual slacks due to the conservative network flow construction. Experiments on ISPD 2012 benchmarks show that on average we can save 13% more leakage power on designs with fast timing constraints compared to start-of-the-art techniques. Moreover, our algorithm shows a linear empirical runtime, finishing the largest benchmark with one million cells in 1.5 hours.

References

  1. W. Chuang, S. Sapatnekar, and I. Hajj, "Timing and area optimization for standard-cell VLSI circuit design," IEEE Transactions on Computer Aided Design, vol. 14, no. 3, pp. 308--320, 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. M. Berkelaar and J. Jess, "Gate sizing in MOS digital circuits with linear programming," in Proc. DATE: Design Automation and Test in Europe, 1990, pp. 217--221. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. D. Chinnery and K. Keutzer, "Linear programming for sizing, vth and vdd assignment," in Proc. International Symposium on Low Power Electronics and Design, 2005, pp. 149--154. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. S. Shah, A. Srivastava, D. Sharma, D. Sylvester, D. Blaauw, and V. Zolotov, "Discrete vt assignment and gate sizing using a self-snapping continuous formulation," in Proc. Intl. Conf. on Computer-Aided Design, 2005, pp. 705--712. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. H. Chou, Y.-H. Wang, and C. C.-P. Chen, "Fast and effective gate-sizing with multiple-vt assignment using generalized lagrangian relaxation," in Proc. Asian and South Pacific Design Automation Conference, 2005, pp. 381--386. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. S. Roy, W. Chen, C. Chung-Ping Chen, and Y. H. Hu, "Numerically convex forms and their application in gate sizing," IEEE Transactions on Computer Aided Design, vol. 26, no. 9, pp. 1637--1647, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. K. Kasamsetty, M. Ketkar, and S. Sapatnekar, "A new class of convex functions for delay modeling and its application to the transistor sizing problem," IEEE Transactions on Computer Aided Design, vol. 19, no. 7, pp. 779--788, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. S. Sapatnekar, V. Rao, P. Vaidya, and S.-M. Kang, "An exact solution to the transistor sizing problem for cmos circuits using convex optimization," IEEE Transactions on Computer Aided Design, vol. 12, no. 11, pp. 1621--1634, 1993. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. J. Wang, D. Das, and H. Zhou, "Gate sizing by lagrangian relaxation revisited," in Proc. Intl. Conf. on Computer-Aided Design, 2007, pp. 111--118. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. H. Ren and S. Dutt, "A network-flow based cell sizing algorithm," in The International Workshop on Logic Synthesis, 2008, pp. 7--14.Google ScholarGoogle Scholar
  11. V. Sundararajan, S. Sapatnekar, and K. Parhi, "Fast and exact transistor sizing based on iterative relaxation," IEEE Transactions on Computer Aided Design, vol. 21, no. 5, pp. 568--581, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. H. Tennakoon and C. Sechen, "Gate sizing using lagrangian relaxation combined with a fast gradient-based pre-processing step," in Proc. Intl. Conf. on Computer-Aided Design, 2002, pp. 395--402. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. C.-P. Chen, C. Chu, and D. Wong, "Fast and exact simultaneous gate and wire sizing by lagrangian relaxation," IEEE Transactions on Computer Aided Design, vol. 18, no. 7, pp. 1014--1025, 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. H. Tennakoon and C. Sechen, "Efficient and accurate gate sizing with piecewise convex delay models," in Proc. of the Design Automation Conf., 2005, pp. 807--812. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. M. Ozdal, S. Burns, and J. Hu, "Gate sizing and device technology selection algorithms for high-performance industrial designs," in Proc. Intl. Conf. on Computer-Aided Design, 2011, pp. 724--731. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. S. Hu, M. Ketkar, and J. Hu, "Gate sizing for cell-library-based designs," IEEE Transactions on Computer Aided Design, vol. 28, no. 6, pp. 818--825, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Y. Liu and J. Hu, "A new algorithm for simultaneous gate sizing and threshold voltage assignment," in Proc. International Symposium on Physical Design, 2009, pp. 27--34. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. O. Coudert, "Gate sizing for constrained delay/power/area optimization," IEEE Transactions on Very Large-Scale Integrated Systems, vol. 5, no. 4, pp. 465--472, 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. M. Rahman, H. Tennakoon, and C. Sechen, "Power reduction via near-optimal library-based cell-size selection," in Proc. DATE: Design Automation and Test in Europe, 2011, pp. 1--4.Google ScholarGoogle Scholar
  20. S. Lin, M. Marek-Sadowska, and E. Kuh, "Delay and area optimization in standard-cell design," in Proc. of the Design Automation Conf., 1990, pp. 349--352. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. J. Fishburn and A. Dunlop, "Tilos: A posynomial programming approach to transistor sizing," in Proc. Intl. Conf. on Computer-Aided Design, 1985, pp. 326--328.Google ScholarGoogle Scholar
  22. A. Srivastava, D. Sylvester, and D. Blaauw, "Power minimization using simultaneous gate sizing, dual-vdd and dual-vth assignment," in Proc. of the Design Automation Conf., 2004, pp. 783--787. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. L. Wei, K. Roy, and C.-K. Koh, "Power minimization by simultaneous dual-vth assignment and gate-sizing," in Proc. Custom Integrated Circuits Conf., 2000, pp. 413--416.Google ScholarGoogle Scholar
  24. W. Ning, "Strongly NP-hard discrete gate-sizing problems," IEEE Transactions on Computer Aided Design, vol. 13, no. 8, pp. 1045--1051, 1994. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. P. K. Chan, "Algorithms for library-specific sizing of combinational logic," in Proc. of the Design Automation Conf., 1990, pp. 353--356. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. M. M. Ozdal, C. Amin, A. Ayupov, S. Burns, G. Wilke, and C. Zhuo, "The ISPD-2012 discrete cell sizing contest and benchmark suite," in Proc. International Symposium on Physical Design, 2012, pp. 161--164. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. M. S. Bazaraa, H. D. Sherali, and C. M. Shetty, Nonlinear Programming: Theory and Algorithms, 2nd ed. John Wiley & Sons, 1993.Google ScholarGoogle Scholar
  28. R. K. Ahuja, R. K. Ahuja, D. S. Hochbaum, D. S. Hochbaum, J. B. Orlin, and J. B. Orlin, "Solving the convex cost integer dual network flow problem," Management Science, vol. 49, pp. 31--44, 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. Q. Ma and E. Young, "Network flow-based power optimization under timing constraints in MSV-driven floorplanning," in Proc. Intl. Conf. on Computer-Aided Design, 2008, pp. 1--8. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. L. Li, J. Sun, Y. Lu, H. Zhou, and X. Zeng, "Low power discrete voltage assignment under clock skew scheduling," in Proc. Asian and South Pacific Design Automation Conference, 2011, pp. 515--520. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. Intel Threading Building Blocks. {Online}. Available: http://www.threadingbuildingblocks.orgGoogle ScholarGoogle Scholar
  32. Y. Lu, H. Zhou, L. Shang, and X. Zeng, "Multicore parallelization of min-cost flow for CAD applications," Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol. 29, no. 10, pp. 1546--1557, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. A. Holder, C. Carothers, and K. Kalafala, "Prototype for a large-scale static timing analyzer running on an IBM blue gene," in IEEE International Symposium on Parallel Distributed Processing, Workshops and Phd Forum, 2010, pp. 1--8.Google ScholarGoogle Scholar

Index Terms

  1. An efficient algorithm for library-based cell-type selection in high-performance low-power designs

            Recommendations

            Comments

            Login options

            Check if you have access through your login credentials or your institution to get full access on this article.

            Sign in
            • Published in

              cover image ACM Conferences
              ICCAD '12: Proceedings of the International Conference on Computer-Aided Design
              November 2012
              781 pages
              ISBN:9781450315739
              DOI:10.1145/2429384
              • General Chair:
              • Alan J. Hu

              Copyright © 2012 ACM

              Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

              Publisher

              Association for Computing Machinery

              New York, NY, United States

              Publication History

              • Published: 5 November 2012

              Permissions

              Request permissions about this article.

              Request Permissions

              Check for updates

              Qualifiers

              • research-article

              Acceptance Rates

              Overall Acceptance Rate457of1,762submissions,26%

              Upcoming Conference

              ICCAD '24
              IEEE/ACM International Conference on Computer-Aided Design
              October 27 - 31, 2024
              New York , NY , USA

            PDF Format

            View or Download as a PDF file.

            PDF

            eReader

            View online with eReader.

            eReader