skip to main content
10.1145/2451916.2451922acmconferencesArticle/Chapter ViewAbstractPublication PagesispdConference Proceedingsconference-collections
research-article

Benchmarking for research in power delivery networks of three-dimensional integrated circuits

Published: 24 March 2013 Publication History

Abstract

Power integrity is generally considered to be one of the major bottlenecks hindering the prevalence of three-dimensional integrated circuits (3D ICs). The higher integration density and smaller footprint result in significantly increased power density, which threatens the system reliability. In view of this, there has been groundswell of interest in academia to model, design or optimize the power delivery networks (PDNs) in 3D ICs. Unfortunately, while several PDN benchmarks exist for 2D PDNs, none is available in the context of 3D. As a consequence, most existing literature resorts to ad-hoc designs by artificially stacking 2D PDNs for experiments, rendering the results less convincing. In this paper, we put forward a set of ten PDN benchmarks that are extracted from industrial 3D designs. These designs are carefully selected such that they cover a wide range of functionality, size, TSV number, tier number and packaging style. We hope that the released benchmarks can facilitate and promote research in 3D PDNs.

References

[1]
N.H. Khan, S.M Alam and S Hassoun. 2009. System-level comparison of power delivery design for 2D and 3D ICs. Proc. Intl. Conf. on 3D System Integration. 1--7. DOI=http://dx.doi.org/10.1109/3DIC.2009.5306539.
[2]
Z. Xu, J. Lu, B.C. Webb and J.U. Knickerbocker. 2011. Electromagnetic-SPICE modeling and analysis of 3D power network. Proc. Electronic Components and Technology Conf. 2171--2178. DOC= http://dx.doi.org/10.1109/ECTC.2011.5898820.
[3]
J. Xie and M. Swaminathan. 2010. DC IR drop solver for large scale 3D power delivery networks. Proc. Intl. Conf. on Electrical Performance of Electronic Packaging and Systems. 217--220. DOI= http://dx.doi.org/10.1109/EPEPS.2010.5642582
[4]
M. Jung and S.K. Lim. 2010. A Study of IR-drop Noise Issues in 3D ICs with Through-Silicon-Vias. Proc. Intl. Conf. on 3D System Integration. 1--7. DOI=http://dx.doi.org/10.1109/3DIC.2010.5751462
[5]
P. Singh, R. Sankar, X. Hu, W. Xie, A. Sarkar and T. Thomas. 2010. Power delivery network design and optimization for 3D stacked die designs. Proc. Intl. Conf. on 3D System Integration. 1--6. DOI= http://dx.doi.org/10.1109/3DIC.2010.5751475
[6]
H. Chen, H. Lin, Z. Wang and T. Hwang. 2011. A new architecture for power network in 3D IC. Proc. Design Automation & Test in Europe Conf. 1--6.
[7]
Z. Li, Y. Ma, Q. Zhou, Y. Cai, Y. Wang, T. Huang and Y. Xie. 2012. Thermal-aware power network design for IR drop reduction in 3D ICs. Proc. Asia and south Pacific Design Automation Conf. 47--52. DOI=http://dx.doi.org/10.1109/ASPDAC.2012.6164995
[8]
P. Falkerstern, Y. Xie, Y. W. Chang and Y. Wang. 2010. Three dimensional integrated circuit (3D IC) floorplan and power/ground network co-synthesis. Proc. Asia and south Pacific Design Automation Conf. 169--174. DOI=http://dx.doi.org/10.1109/ASPDAC.2010.5419899
[9]
P. Zhou, K. Sridharan and S.S. Sapatnekar. 2009. Optimizing decoupling capacitors in 3D circuits for power grid integrity. IEEE Design & Test of Computers, 26, 5. 15--25. DOI= http://dx.doi.org/10.1109/MDT.2009.120
[10]
H. Yu, J. Ho and L. He. 2006. Simultaneous power and thermal integrity driven via stapling in 3D ICs. Proc. Intl. Conf. on Computer-Aided Design. 802--808. DOI=http://dx.doi.org/10.1109/ICCAD.2006.320123
[11]
S. Nassif. 2008. Power grid analysis benchmarks. Proc. Asia and South Pacific Design Automation Conf. 376--381. DOI=http://dx.doi.org/10.1109/ASPDAC.2008.4483978
[12]
Z. Li, R. Balasubramanian, F. Liu and S. Nassif. 2011. 2011 TAU power grid simulation contest: benchmark suite and results. Proc. Intl. Conf. on Computer-Aided Design. 478--481. DOI=http://dx.doi.org/10.1109/ICCAD.2011.6105371
[13]
Z. Zeng, T. Xu, Z. Feng and P. Li. 2011. Fast static analysis of power grids: algorithms and implementations. Proc. Intl. Conf. on Computer-Aided Design. 488--493. DOI= http://dx.doi.org/10.1109/ICCAD.2011.6105373
[14]
C. H. Chou, N. Y. Tsai, H. Yu, C. Lee, Y. Shi and S. Chang. 2011. On the preconditioner of conjugate gradient method -- a power grid Simulation perspective. Proc. Intl. Conf. on Computer-Aided Design. 488--493. DOI=http://dx.doi.org/10.1109/ICCAD.2011.6105374
[15]
T. Yu, Martin D.F. Wong. 2012. PGT_SOLVER: An Efficient Solver for Power Grid Transient Analysis. IEEE Intl. Conf. on Computer-Aided Design. 647--652.
[16]
J. Yang, Z. Li, Y. Cai and Q. Zhou. 2012. PowerRush: efficient transient simulation for power grid analysis. Proc. Intl. Conf. on Computer-Aided Design. 653--659.
[17]
X. Xiong and J. Wang. 2012. Parallel forward and back substitution for efficient power grid simulation. Proc. Intl. Conf. on Computer-Aided Design. 660--663.
[18]
J. Kim, et al. 2011. A 1.2V 12.8 GB/s 2Gb mobile wide-I/O DRAM with 4x128 I/Os using TSV-based stacking. Proc. Intl. Solid-State Circuits Conf. 496--498. DOI=http://dx.doi.org/10.1109/ISSCC.2011.5746413
[19]
http://web.mst.edu/~yshi/3D-PDN.html
[20]
Cadence, "Encounter" user guide".
[21]
Cadence, "QRC extraction user manual".
[22]
Cadence, "VoltageStorm® PowerMeter manual".
[23]
Cadence, "VirtuosoR UltraSim simulator user guide".
[24]
H. Wang, J. Kim, Y. Shi and J. Fan. 2011. The effects of substrate doping density on the electrical performance of through-silicon-via. Proc. of Asia-Pacific EMC Symposium.
[25]
HFSS website, "http://www.ansys.com/"
[26]
T. Wang, P.W. Luo, Y. S. Su, L. C. Cheng, D. M. Kwai and Y. Shi. 2012. Capturing the phantom of the power grid - on the runtime adaptive techniques for noise reduction. Proc. Asia and South Pacific Design Automation Conference. 640--645. DOI=http://dx.doi.org/10.1109/ASPDAC.2012.6165035
[27]
Wide-IO memories, http://www.gsaglobal.org/3dic/docs/20111216_Wide_I-O_Std_JC_42.6.pdf

Cited By

View all
  • (2024)SRAM-PG: Power Delivery Network Benchmarks from SRAM Circuits2024 25th International Symposium on Quality Electronic Design (ISQED)10.1109/ISQED60706.2024.10528743(1-7)Online publication date: 3-Apr-2024
  • (2021)BeGAN: Power Grid Benchmark Generation Using a Process-portable GAN-based Methodology2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD)10.1109/ICCAD51958.2021.9643566(1-8)Online publication date: 1-Nov-2021
  • (2019)Full Chip Impact Study of Power Delivery Network Designs in Gate-Level Monolithic 3-D ICsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2016.261637736:6(992-1003)Online publication date: 4-Jan-2019
  • Show More Cited By

Index Terms

  1. Benchmarking for research in power delivery networks of three-dimensional integrated circuits

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ISPD '13: Proceedings of the 2013 ACM International symposium on Physical Design
    March 2013
    194 pages
    ISBN:9781450319546
    DOI:10.1145/2451916
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 24 March 2013

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. 3d ics
    2. benchmark
    3. power delivery network

    Qualifiers

    • Research-article

    Conference

    ISPD'13
    Sponsor:
    ISPD'13: International Symposium on Physical Design
    March 24 - 27, 2013
    Nevada, Stateline, USA

    Acceptance Rates

    Overall Acceptance Rate 62 of 172 submissions, 36%

    Upcoming Conference

    ISPD '25
    International Symposium on Physical Design
    March 16 - 19, 2025
    Austin , TX , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)18
    • Downloads (Last 6 weeks)1
    Reflects downloads up to 08 Mar 2025

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)SRAM-PG: Power Delivery Network Benchmarks from SRAM Circuits2024 25th International Symposium on Quality Electronic Design (ISQED)10.1109/ISQED60706.2024.10528743(1-7)Online publication date: 3-Apr-2024
    • (2021)BeGAN: Power Grid Benchmark Generation Using a Process-portable GAN-based Methodology2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD)10.1109/ICCAD51958.2021.9643566(1-8)Online publication date: 1-Nov-2021
    • (2019)Full Chip Impact Study of Power Delivery Network Designs in Gate-Level Monolithic 3-D ICsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2016.261637736:6(992-1003)Online publication date: 4-Jan-2019
    • (2019)Design and CAD Solutions for Cooling and Power Delivery for Monolithic 3D-ICsHandbook of 3D Integration10.1002/9783527697052.ch6(115-140)Online publication date: 8-Feb-2019
    • (2015)HS3-DPG: Hierarchical Simulation for 3-D P/G NetworkIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2014.235858223:10(2307-2311)Online publication date: Oct-2015
    • (2015)Actively Alleviate Power Gating-Induced Power/Ground Noise Using Parasitic Capacitance of On-Chip Memories in MPSoCIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2014.230695923:2(266-279)Online publication date: Feb-2015
    • (2014)Full chip impact study of power delivery network designs in monolithic 3D ICsProceedings of the 2014 IEEE/ACM International Conference on Computer-Aided Design10.5555/2691365.2691477(565-572)Online publication date: 3-Nov-2014
    • (2014)MemcomputingProceedings of the conference on Design, Automation & Test in Europe10.5555/2616606.2616997(1-3)Online publication date: 24-Mar-2014
    • (2014)Efficient region-aware P/G TSV planning for 3D ICsFifteenth International Symposium on Quality Electronic Design10.1109/ISQED.2014.6783321(171-178)Online publication date: Mar-2014
    • (2014)Full chip impact study of power delivery network designs in monolithic 3D ICs2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)10.1109/ICCAD.2014.7001406(565-572)Online publication date: Nov-2014
    • Show More Cited By

    View Options

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Figures

    Tables

    Media

    Share

    Share

    Share this Publication link

    Share on social media