skip to main content
10.1145/2463209.2488779acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

A heterogeneous multiple network-on-chip design: an application-aware approach

Published: 29 May 2013 Publication History

Abstract

Current network-on-chip designs in chip-multiprocessors are agnostic to application requirements and hence are provisioned for the general case, leading to wasted energy and performance. We observe that applications can generally be classified as either network bandwidth-sensitive or latency-sensitive. We propose the use of two separate networks on chip, where one network is optimized for bandwidth and the other for latency, and the steering of applications to the appropriate network. We further observe that not all bandwidth (latency) sensitive applications are equally sensitive to network bandwidth (latency). Hence, within each network, we prioritize packets based on the relative sensitivity of the applications they belong to. We introduce two metrics, network episode height and length, as proxies to estimate bandwidth and latency sensitivity, to classify and rank applications. Our evaluations show that the resulting heterogeneous two-network design can provide significant energy savings and performance improvements across a variety of workloads compared to a single one-size-fits-all single network and homogeneous multiple networks.

References

[1]
D. Abts et al. "Achieving Predictable Performance Through Better Memory Controller Placement in Many-Core CMPs". In ISCA. 2009.
[2]
T. W. Ainsworth et al. "Characterizing the Cell EIB On-Chip Network". In IEEE Micro (2007).
[3]
R. Ausavarungnirun et al. "Staged Memory Scheduling: Achieving High Performance and Scalability in Heterogeneous Systems". In ISCA. 2012.
[4]
R. Balasubramonian et al. "Microarchitectural Wire Management for Performance and Power in Partitioned Architectures". In HPCA. 2005.
[5]
J. Balfour et al. "Design Tradeoffs for Tiled CMP On-Chip Networks". In ICS. 2006.
[6]
L. Cheng et al. "Interconnect-Aware Coherence Protocols for Chip Multiprocessors". In ISCA. 2006.
[7]
R. Das et al. "Aergia: Exploiting Packet Latency Slack in On-Chip Networks". In ISCA. 2010.
[8]
R. Das et al. "Application-Aware Prioritization Mechanisms for On-Chip Networks". In MICRO. 2010.
[9]
E. Ebrahimi et al. "Parallel Application Memory Scheduling". In MICRO. 2011.
[10]
C. Fallin et al. "CHIPPER: A Low-Complexity Bufferless Deflection Router". In HPCA. 2011.
[11]
C. Fallin et al. "MinBD: Minimally-Buffered Deflection Routing for Energy-Efficient Interconnect". In NOCS. 2012.
[12]
B. Grot et al. "Kilo-NOC: a heterogeneous network-on-chip architecture for scalability and service guarantees". In ISCA. 2011.
[13]
T. Hastie et al. The Elements of Statistical Learning (2nd edition). Springer-Verlag, 2008.
[14]
J. A. Kahle et al. "Introduction to the Cell Multiprocessor". In IBM J. of Research and Development (2005).
[15]
M. M. Kim et al. "Polymorphic On-Chip Networks". In ISCA. 2008.
[16]
Y. Kim et al. "ATLAS: A Scalable and High-Performance Scheduling Algorithm for Multiple Memory Controllers". In HPCA. 2010.
[17]
Y. Kim et al. "Thread Cluster Memory Scheduling: Exploiting Differences in Memory Access Behavior". In MICRO. 2010.
[18]
W. J. Krzanowski et al. "A Criterion for Determining the Number of Groups in a Data Set Using Sum-of-Squares Clustering". In Biometrics 44.1 (1988).
[19]
D. Lenoski et al. "The Stanford Dash multiprocessor". In IEEE Computer (1992).
[20]
A. K. Mishra et al. "A Case for Heterogeneous On-Chip Interconnects for CMPs". In ISCA. 2011.
[21]
S. P. Muralidhara et al. "Reducing Memory Interference in Multicore Systems via Application-Aware Memory Channel Partitioning". In MICRO. 2011.
[22]
O. Mutlu et al. "Parallelism-Aware Batch Scheduling: Enhancing both Performance and Fairness of Shared DRAM Systems". In ISCA. 2008.
[23]
O. Mutlu et al. "Stall-Time Fair Memory Access Scheduling for Chip Multiprocessors". In MICRO. 2007.
[24]
K. Sankaralingam et al. "Exploiting ILP, TLP, and DLP with The Polymorphous TRIPS Architecture". In ISCA. 2003.
[25]
L. Subramanian et al. "MISE: Providing Performance Predictability and Improving Fairness in Shared Main Memory Systems". In HPCA. 2013.
[26]
M. B. Taylor et al. "The Raw Microprocessor: A Computational Fabric for Software Circuits and General Purpose Programs". In IEEE Micro (2002).
[27]
R. Tibshirani et al. "Estimating the Number of Clusters in a Data Set via the Gap Statistic". In Journal of the Royal Statistical Society. 63.2 (2001).
[28]
S. Volos et al. "CCNoC: Specializing On-Chip Interconnects for Energy Efficiency in Cache-Coherent Servers". In NOCS. 2012.
[29]
D. Wentzlaff et al. "On-Chip Interconnection Architecture of the Tile Processor". In IEEE Micro (2007).

Cited By

View all
  • (2024)A Survey of MPSoC Management toward Self-AwarenessMicromachines10.3390/mi1505057715:5(577)Online publication date: 26-Apr-2024
  • (2024)Versa-DNN: A Versatile Architecture Enabling High-Performance and Energy-Efficient Multi-DNN AccelerationIEEE Transactions on Parallel and Distributed Systems10.1109/TPDS.2023.334095335:2(349-361)Online publication date: Feb-2024
  • (2024)SCNoCs: An Adaptive Heterogeneous Multi-NoC with Selective Compression and Power Gating2024 29th Asia and South Pacific Design Automation Conference (ASP-DAC)10.1109/ASP-DAC58780.2024.10473870(13-18)Online publication date: 22-Jan-2024
  • Show More Cited By

Index Terms

  1. A heterogeneous multiple network-on-chip design: an application-aware approach

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    DAC '13: Proceedings of the 50th Annual Design Automation Conference
    May 2013
    1285 pages
    ISBN:9781450320719
    DOI:10.1145/2463209
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    In-Cooperation

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 29 May 2013

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. QoS
    2. heterogeneity
    3. on-chip networks
    4. packet scheduling

    Qualifiers

    • Research-article

    Conference

    DAC '13
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

    Upcoming Conference

    DAC '25
    62nd ACM/IEEE Design Automation Conference
    June 22 - 26, 2025
    San Francisco , CA , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)21
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 08 Mar 2025

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)A Survey of MPSoC Management toward Self-AwarenessMicromachines10.3390/mi1505057715:5(577)Online publication date: 26-Apr-2024
    • (2024)Versa-DNN: A Versatile Architecture Enabling High-Performance and Energy-Efficient Multi-DNN AccelerationIEEE Transactions on Parallel and Distributed Systems10.1109/TPDS.2023.334095335:2(349-361)Online publication date: Feb-2024
    • (2024)SCNoCs: An Adaptive Heterogeneous Multi-NoC with Selective Compression and Power Gating2024 29th Asia and South Pacific Design Automation Conference (ASP-DAC)10.1109/ASP-DAC58780.2024.10473870(13-18)Online publication date: 22-Jan-2024
    • (2023)Adaptive distribution of control messages for improving bandwidth utilization in multiple NoCThe Journal of Supercomputing10.1007/s11227-023-05208-079:15(17208-17246)Online publication date: 7-May-2023
    • (2022)Agile: A Learning-Enabled Power and Performance-Efficient Network-on-Chip DesignIEEE Transactions on Emerging Topics in Computing10.1109/TETC.2020.300349610:1(223-236)Online publication date: 1-Jan-2022
    • (2022)Evolution of Efficient On-Chip Interconnect Architecture for SOC: A Review2022 IEEE Global Conference on Computing, Power and Communication Technologies (GlobConPT)10.1109/GlobConPT57482.2022.9938209(1-6)Online publication date: 23-Sep-2022
    • (2021)ALPHA: A Learning-Enabled High-Performance Network-on-Chip Router Design for Heterogeneous Manycore ArchitecturesIEEE Transactions on Sustainable Computing10.1109/TSUSC.2020.29813406:2(274-288)Online publication date: 1-Apr-2021
    • (2021)Adapt-NoC: A Flexible Network-on-Chip Design for Heterogeneous Manycore Architectures2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA)10.1109/HPCA51647.2021.00066(723-735)Online publication date: Feb-2021
    • (2020)Non-well-founded Proof Theory of Transitive Closure LogicACM Transactions on Computational Logic10.1145/340488921:4(1-31)Online publication date: 11-Aug-2020
    • (2020)Measuring Misinformation in Video Search Platforms: An Audit Study on YouTubeProceedings of the ACM on Human-Computer Interaction10.1145/33928544:CSCW1(1-27)Online publication date: 29-May-2020
    • Show More Cited By

    View Options

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Figures

    Tables

    Media

    Share

    Share

    Share this Publication link

    Share on social media