skip to main content
10.1145/2463209.2488850acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

21st century digital design tools

Published: 29 May 2013 Publication History

Abstract

Most chips today are designed with 20th century CAD tools. These tools, and the abstractions they are based on, were originally intended to handle designs of millions of gates or less. They are not up to the task of handling today's billion-gate designs. The result is months of delay and considerable labor from final RTL to tapeout. Surprises in timing closure, global congestion, and power consumption are common. Even taking an existing design to a new process node is a time-consuming and laborious process.
Twenty-first century CAD tools should be based on higher-level abstractions to enable billion-gate chips to go from final RTL to tapeout in days, not months. Key to attaining this increase in productivity is raising the level of design and using simple, standard interfaces. Designs should be composed from high-level modules -- processors, MODEMs, CODECs, memory subsystems, and I/O subsystems -- rather than gates and flip-flops. Each module, which we expect to contain 100 thousand to 10 million gates, is easily laid out by today's tools, is placed as a unit, and communicates over a NoC via a standard interface. Restricting modules to standard sizes and aspect ratios further simplifies physical design. We expect even a large chip to contain at most a few thousand such modules and expect the physical design and chip-assembly to take a few days with minimal labor after completion of the module-level design.

References

[1]
Borkar, S. 2009. Design perspectives on 22nm CMOS and beyond. In Proceedings of the Design Automation Conference, July 2009, pp. 93--94.
[2]
Parnas, D. L. 1972. On the criteria to be used in decomposing systems into modules. Communications of the ACM, 15(12) 1972, pp. 1053--1058.
[3]
Dally, W. J. and Towles, B. 2001. Route packets, not wires: on-chip interconnection networks. In Proceedings of the Design Automation Conference, June 2001, pp. 684--689.
[4]
Dally, W. J. and Towles, B. P. 2003. Principles and Practices of Interconnection Networks. Morgan Kaufmann.
[5]
Dally, W. J. and Chang, A. 2000. The role of custom design in ASIC chips. In Proceedings of the Design Automation Conference, June 2000, pp. 643--647.
[6]
Ueno, K. et al. 2007. A design methodology realizing an over GHz synthesizable streaming processing unit. In Proceedings of the IEEE Symposium on VLSI Circuits, June 2007, pp. 48--49.
[7]
Dally, W. J. 1992. Virtual-channel flow control. IEEE Transactions on Parallel and Distributed Systems, 3(2), 1992, pp. 194--205.
[8]
Sankaralingam, K., et al. 2006. Distributed microarchitectural protocols in the TRIPS prototype processor. In Proceedings of the International Symposium on Microarchitecture, December 2006, pp. 480--491.
[9]
Goering, R. (2009) Are SoC Development Costs Significantly Underestimated?, http://www.cadence.com/Community/blogs/ii/archive/2009/09/24/are-soc-development-costs-significantly-underestimated.aspx

Cited By

View all
  • (2022)Virtual-Channel Flow Control Across Mesochronous Clock Domains2022 11th International Conference on Modern Circuits and Systems Technologies (MOCAST)10.1109/MOCAST54814.2022.9837772(1-4)Online publication date: 8-Jun-2022
  • (2017)Synchoricity and NOCs could make Billion Gate Custom Hardware Centric SOCs AffordableProceedings of the Eleventh IEEE/ACM International Symposium on Networks-on-Chip10.1145/3130218.3132339(1-10)Online publication date: 19-Oct-2017
  • (2017)Networks-on-Chip With Double-Data-Rate LinksIEEE Transactions on Circuits and Systems I: Regular Papers10.1109/TCSI.2017.273468964:12(3103-3114)Online publication date: Dec-2017
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
DAC '13: Proceedings of the 50th Annual Design Automation Conference
May 2013
1285 pages
ISBN:9781450320719
DOI:10.1145/2463209
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

In-Cooperation

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 29 May 2013

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. NoC
  2. chiplet
  3. design automation
  4. digital design
  5. modularity

Qualifiers

  • Research-article

Conference

DAC '13
Sponsor:

Acceptance Rates

Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

Upcoming Conference

DAC '25
62nd ACM/IEEE Design Automation Conference
June 22 - 26, 2025
San Francisco , CA , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)13
  • Downloads (Last 6 weeks)0
Reflects downloads up to 08 Mar 2025

Other Metrics

Citations

Cited By

View all
  • (2022)Virtual-Channel Flow Control Across Mesochronous Clock Domains2022 11th International Conference on Modern Circuits and Systems Technologies (MOCAST)10.1109/MOCAST54814.2022.9837772(1-4)Online publication date: 8-Jun-2022
  • (2017)Synchoricity and NOCs could make Billion Gate Custom Hardware Centric SOCs AffordableProceedings of the Eleventh IEEE/ACM International Symposium on Networks-on-Chip10.1145/3130218.3132339(1-10)Online publication date: 19-Oct-2017
  • (2017)Networks-on-Chip With Double-Data-Rate LinksIEEE Transactions on Circuits and Systems I: Regular Papers10.1109/TCSI.2017.273468964:12(3103-3114)Online publication date: Dec-2017
  • (2017)SiLago-CoG: Coarse-Grained Grid-Based Design for Near Tape-Out Power Estimation Accuracy at High Level2017 IEEE Computer Society Annual Symposium on VLSI (ISVLSI)10.1109/ISVLSI.2017.15(25-31)Online publication date: Jul-2017
  • (2017)The SiLago Solution: Architecture and Design Methods for a Heterogeneous Dark Silicon Aware Coarse Grain Reconfigurable FabricThe Dark Side of Silicon10.1007/978-3-319-31596-6_3(47-94)Online publication date: 1-Jan-2017
  • (2016)CrossOverProceedings of the 2016 Conference on Design, Automation & Test in Europe10.5555/2971808.2972086(1183-1188)Online publication date: 14-Mar-2016
  • (2016)RapidLink: A network-on-chip architecture with double-data-rate links2016 IEEE International Conference on Electronics, Circuits and Systems (ICECS)10.1109/ICECS.2016.7841140(93-96)Online publication date: Dec-2016
  • (2016)High-level synthesis of accelerators in embedded scalable platforms2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC)10.1109/ASPDAC.2016.7428012(204-211)Online publication date: Jan-2016
  • (2015)Physical design aware system level synthesis of hardware2015 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS)10.1109/SAMOS.2015.7363669(141-148)Online publication date: Jul-2015
  • (2015)Timing-resilient Network-on-Chip architectures2015 IEEE 21st International On-Line Testing Symposium (IOLTS)10.1109/IOLTS.2015.7229836(77-82)Online publication date: Jul-2015
  • Show More Cited By

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Figures

Tables

Media

Share

Share

Share this Publication link

Share on social media