skip to main content
10.1145/2463209.2488959acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

InTimeFix: a low-cost and scalable technique for in-situ timing error masking in logic circuits

Published: 29 May 2013 Publication History

Abstract

With technology scaling, integrated circuits (ICs) suffer from increasing process, voltage, and temperature (PVT) variations and adverse aging effects. In most cases, these reliability threats manifest themselves as timing errors on critical speed-paths of the circuit, if a large design guard band is not reserved. This work presents a novel in-situ timing error masking technique, namely InTimeFix, by introducing fine-grained redundant approximation circuit into the design to provide more timing slack for speed-paths. The synthesis of the redundant circuit relies on simple structural analysis of the original circuit, which is easily scalable to large IC designs. Experimental results show that InTimeFix significantly increases circuit timing slack with low area/power cost.

References

[1]
S. Borkar. Designing reliable systems from unreliable components: the challenges of transistor variability and degradation. IEEE Micro, 25(6):10--16, 2005.
[2]
K. Bowman, et al. Circuit techniques for dynamic variation tolerance. In Proc. DAC, pp. 4--7, 2009.
[3]
D. Frank, R. Puri, and D. Toma. Design and CAD Challenges in 45nm CMOS and beyond. In Proc. ICCAD, pp. 329--333, 2006.
[4]
T. Austin and V. Bertacco. Deployment of better than worst-case design: solutions and needs. In Proc. ICCD, pp. 550--555, 2005.
[5]
M. Agarwal, B. C. Paul, M. Zhang, and S. Mitra. Circuit Failure Prediction and Its Application to Transistor Aging. In Proc. VTS, pp. 277--286, 2007.
[6]
D. Ernst, et al. Razor: a low-power pipeline based on circuit-level timing speculation. In Proc. MICRO, pp. 7--18, 2003.
[7]
K. Bowman, et al. Energy-efficient and metastability-immune resilient circuits for dynamic variation tolerance. IEEE JSSC, 44(1):49--63, 2009.
[8]
S. Das, et al. RazorII: In situ error detection and correction for PVT and SER tolerance. IEEE JSSC, 44(1):32--48, 2009.
[9]
B. Greskamp, et al. Blueshift: Designing processors for timing speculation from the ground up. Proc. HPCA, pp. 213--224, 2009.
[10]
R. Ye, F. Yuan and Q. Xu. Online clock skew tuning for timing speculation. Proc. ICCAD, pp. 442--447, 2011.
[11]
Y. Liu, et al. On logic synthesis for timing speculation. Proc. ICCAD, pp. 591--596, 2012.
[12]
R. Ye, et al. Post-placement voltage island generation for timing-speculative circuits. Proc. DAC, 2013.
[13]
M. R. Choudhury and K. Mohanram. TIMBER: Time borrowing and error relaying for online timing error resilience. In Proc. DATE, pp. 1554--1559, 2010.
[14]
M. Kurimoto, et al. Phase-adjustable error detection flip-flops with 2-stage hold driven optimization and slack based grouping scheme for dynamic voltage scaling. In Proc. DAC, pp. 884--889, 2008.
[15]
K. Hirose, et al. Delay-compensation flip-flop with in-situ error monitoring for low-power and timing-error-tolerant circuit design. Japan Journal of Applied Physics, 47(4):2779--2787, Apr. 2008.
[16]
M. R. Choudhury and K. Mohanram. Masking timing errors on speed-paths in logic circuits. In Proc. DATE, pp. 87--92, 2009.
[17]
S.-L. Lu. Speeding up processing with approximation circuits. Computer, 37(3):67--73, Mar. 2004.
[18]
M. R. Choudhury and K. Mohanram. Approximate logic circuits for low overhead, non-intrusive concurrent error detection. In DATE, pp. 903--908, 2008.
[19]
O. Coudert, et al., New Algorithm for Gate Sizing: A Comparative Study. In Proc. DAC, pp. 734--739, 1996.
[20]
M. Mani, et al., An Efficient Algorithm for Statistical Minimization of Total Power under Timing Yield Constraints. In Proc. DAC, pp. 309--314, 2005.
[21]
G. Yu, et al. Statistical Static Timing Analysis Considering Process Variation Model Uncertainty. In Proc. IEEE TCAD, pp. 1880--1890, 2008.
[22]
J. L. Tsai, et al. A Yield Improvement Methodology Using Pre- and Post-Silicon Statistical Clock Scheduling. In Proc. ICCAD, pp. 611--618, 2004.
[23]
K.-T. Cheng and H.-C. Chen, Classification and Identification of Nonrobust Untestable Path Delay Faults. In IEEE TCAD, 15(8):845--853, Aug. 1996.

Cited By

View all
  • (2020)A Dedicated Greedy Short Path Padding Solution Method for Error Resilient Circuit DesignsIEEE Access10.1109/ACCESS.2020.30322548(190251-190262)Online publication date: 2020
  • (2016)Variability Mitigation in Nanometer CMOS Integrated Systems: A Survey of Techniques From Circuits to SoftwareProceedings of the IEEE10.1109/JPROC.2016.2518864104:7(1410-1448)Online publication date: Jul-2016
  • (2016)Resiliency in nanometer CMOS systems: An overview2016 IEEE International Conference on Electronics, Circuits and Systems (ICECS)10.1109/ICECS.2016.7841257(536-539)Online publication date: Dec-2016
  • Show More Cited By

Index Terms

  1. InTimeFix: a low-cost and scalable technique for in-situ timing error masking in logic circuits

          Recommendations

          Comments

          Information & Contributors

          Information

          Published In

          cover image ACM Conferences
          DAC '13: Proceedings of the 50th Annual Design Automation Conference
          May 2013
          1285 pages
          ISBN:9781450320719
          DOI:10.1145/2463209
          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Sponsors

          In-Cooperation

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          Published: 29 May 2013

          Permissions

          Request permissions for this article.

          Check for updates

          Qualifiers

          • Research-article

          Conference

          DAC '13
          Sponsor:

          Acceptance Rates

          Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

          Upcoming Conference

          DAC '25
          62nd ACM/IEEE Design Automation Conference
          June 22 - 26, 2025
          San Francisco , CA , USA

          Contributors

          Other Metrics

          Bibliometrics & Citations

          Bibliometrics

          Article Metrics

          • Downloads (Last 12 months)1
          • Downloads (Last 6 weeks)0
          Reflects downloads up to 08 Mar 2025

          Other Metrics

          Citations

          Cited By

          View all
          • (2020)A Dedicated Greedy Short Path Padding Solution Method for Error Resilient Circuit DesignsIEEE Access10.1109/ACCESS.2020.30322548(190251-190262)Online publication date: 2020
          • (2016)Variability Mitigation in Nanometer CMOS Integrated Systems: A Survey of Techniques From Circuits to SoftwareProceedings of the IEEE10.1109/JPROC.2016.2518864104:7(1410-1448)Online publication date: Jul-2016
          • (2016)Resiliency in nanometer CMOS systems: An overview2016 IEEE International Conference on Electronics, Circuits and Systems (ICECS)10.1109/ICECS.2016.7841257(536-539)Online publication date: Dec-2016
          • (2015)An Improved Methodology for Resilient Design ImplementationACM Transactions on Design Automation of Electronic Systems10.1145/274946220:4(1-26)Online publication date: 28-Sep-2015
          • (2014)A new methodology for reduced cost of resilienceProceedings of the 24th edition of the great lakes symposium on VLSI10.1145/2591513.2591600(157-162)Online publication date: 20-May-2014
          • (2013)ForTERProceedings of the International Conference on Computer-Aided Design10.5555/2561828.2561839(55-60)Online publication date: 18-Nov-2013
          • (2013)ForTER: A forward error correction scheme for timing error resilience2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)10.1109/ICCAD.2013.6691097(55-60)Online publication date: Nov-2013

          View Options

          Login options

          View options

          PDF

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader

          Figures

          Tables

          Media

          Share

          Share

          Share this Publication link

          Share on social media