skip to main content
10.1145/2483028.2483079acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
research-article

Asymmetric-access aware optimization for STT-RAM caches with process variations

Published:02 May 2013Publication History

ABSTRACT

STT-RAM (Spin Transfer Torque Random Access Memory) has been extensively researched as a potential replacement of SRAM (Static RAM) as on-chip caches. Prior work has shown that STT-RAM caches can improve performance and reduce power consumption because of its advantages of high density, fast read speed, low standby power, etc. However, under the impact of process variations, using worst-case design can induce significant performance and power overhead in STT-RAM caches. In order to overcome the problem of process variations, we propose to apply the variable-latency access method to STT-RAM caches by introducing a variation-aware LRU (Least Recently Used) policy. Moreover, we show that simply applying traditional variable-latency access method is inefficient due to the read/write asymmetry. First, we demonstrate that a write-oriented data migration is preferred. Second, a block remapping is necessary to prevent some cache sets from being significantly affected by process variations. After using our techniques, the experimental results show that the performance can be improved by 13.8% and power consumption can be reduced by 14.1% compared to a prior approach [3].

References

  1. A. Agarwal, D. Blaauw, and V. Zolotov. Statistical timing analysis for intra-die process variations with spatial correlations. In Computer Aided Design, 2003. ICCAD-2003. International Conference on, pages 900--907, nov. 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. A. Agarwal, D. Blaauw, V. Zolotov, S. Sundareswaran, M. Zhao, K. Gala, and R. Panda. Statistical delay computation considering spatial correlations. In Design Automation Conference, 2003. Proceedings of the ASP-DAC 2003. Asia and South Pacific, pages 271--276, jan. 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. M. Bennaser, Y. Guo, and C. Moritz. Data memory subsystem resilient to process variations. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, 16(12):1631--1638, dec. 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Y. G. Choi, S. Yoo, S. Lee, and J. H. Ahn. Matching cache access behavior and bit error pattern for high performance low vcc l1 cache. In Design Automation Conference (DAC), 2011 48th ACM/EDAC/IEEE, pages 978--983, june 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. X. Dong, C. Xu, Y. Xie, and N. Jouppi. Nvsim: A circuit-level performance, energy, and area model for emerging nonvolatile memory. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, 31(7):994--1007, july 2012.Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. M. Hussain and M. Mutyam. Block remap with turnoff: A variation-tolerant cache design technique. In Design Automation Conference, 2008. ASPDAC 2008. Asia and South Pacific, pages 783--788, march 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. A. Jain, A. Shrivastava, and C. Chakrabarti. La-lru: A latency-aware replacement policy for variation tolerant caches. In VLSI Design (VLSI Design), 2011 24th International Conference on, pages 298--303, jan. 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. S. Ozdemir, A. Mallik, J. C. Ku, G. Memik, and Y. Ismail. Variable latency caches for nanoscale processor. In Supercomputing, 2007. SC '07. Proceedings of the 2007 ACM/IEEE Conference on, pages 1--10, nov. 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. G. Sun, C. Xu, and Y. Xie. Modeling and design exploration of fbdram as on-chip memory. In Design, Automation Test in Europe Conference Exhibition (DATE), 2012, pages 1507--1512, march 2012.Google ScholarGoogle Scholar
  10. G. Sun, Y. Zhang, Y. Wang, and Y. Chen. Improving energy efficiency of write-asymmetric memories by log style write. In Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design, ISLPED '12, pages 173--178, New York, NY, USA, 2012. ACM. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Z. Sun, X. Bi, and H. Li. Process variation aware data management for stt-ram cache design. In Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design, ISLPED '12, pages 179?184, New York, NY, USA, 2012. ACM. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Z. Sun, X. Bi, H. H. Li, W.-F. Wong, Z.-L. Ong, X. Zhu, and W. Wu. Multi retention level stt-ram cache designs with a dynamic refresh scheme. In Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-44 '11, pages 329--338, New York, NY, USA, 2011. ACM. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. W. Wen, Y. Zhang, Y. Chen, Y. Wang, and Y. Xie. Ps3-ram: A fast portable and scalable statistical stt-ram reliability analysis method. In Design Automation Conference (DAC), 2012 49th ACM/EDAC/IEEE, pages 1187--1192, june 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. M. Yamaoka and H. Onodera. A detailed vth-variation analysis for sub-100-nm embedded sram design. In SOC Conference, 2006 IEEE International, pages 315--318, sept. 2006.Google ScholarGoogle ScholarCross RefCross Ref

Index Terms

  1. Asymmetric-access aware optimization for STT-RAM caches with process variations

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      GLSVLSI '13: Proceedings of the 23rd ACM international conference on Great lakes symposium on VLSI
      May 2013
      368 pages
      ISBN:9781450320320
      DOI:10.1145/2483028

      Copyright © 2013 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 2 May 2013

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      GLSVLSI '13 Paper Acceptance Rate76of238submissions,32%Overall Acceptance Rate312of1,156submissions,27%

      Upcoming Conference

      GLSVLSI '24
      Great Lakes Symposium on VLSI 2024
      June 12 - 14, 2024
      Clearwater , FL , USA

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader