skip to main content
research-article

Dynamic programming-based runtime thermal management (DPRTM): An online thermal control strategy for 3D-NoC systems

Published: 20 December 2013 Publication History

Abstract

Complex thermal behavior inhibits the advancement of three-dimensional (3D) very-large-scale-integration (VLSI) system designs, as it could lead to ultra-high temperature hotspots and permanent silicon device damage. This article introduces a new runtime thermal management strategy to effectively diffuse and manage heat throughout 3D chip geometry for a better throughput performance in networks on chip (NoC). This strategy employs a dynamic programming-based runtime thermal management (DPRTM) policy to provide online thermal regulation. Reactive and proactive adaptive schemes are integrated to optimize the routing pathways depending on the critical temperature thresholds and traffic developments. Also, when the critical system thermal limit is violated, an urgent throttling will take place. The proposed DPRTM is rigorously evaluated through cycle-accurate simulations, and results show that the proposed approach outperforms conventional approaches in terms of computational efficiency and thermal stability. For example, the system throughput using the DPRTM approach can be improved by 33% when compared to other adaptive routing strategies for a given thermal constraint. Moreover, the DPRTM implementation presented in this article demonstrates that the hardware overhead is insignificant. This work opens a new avenue for exploring the on-chip adaptability and thermal regulation for future large-scale and 3D many-core integrations.

References

[1]
Ababei, C., Kia, H., Yadav, O., and Hu, J. 2011. Energy and reliability oriented mapping for regular networks-on-chip. In Proceedings of the 5th IEEE/ACM International Symposium on Networks on Chip (NoCS). 121--128.
[2]
Al-Dujaily, R., Mak, T., Lam, K.-P., Xia, F., Yakovlev, A., and Poon, C.-S. 2013. Dynamic on-chip thermal optimization for three-dimensional networks-on-chip. Comput. J. 56, 6, 756--770.
[3]
Al-Dujaily, R., Mak, T., Xia, F., Yakovlev, A., and Palesi, M. 2012. Embedded transitive closure network for runtime deadlock detection in networks-on-chip. IEEE Trans. Parallel Distrib. Syst. 23, 7, 1205--1215.
[4]
Al-Dujaily, R., Mak, T., Zhou, K., Lam, K.-P., Meng, Y., Yakovlev, A., and Poon, C.-S. 2011. On-chip dynamic programming networks using 3D-TSV integration. In Proceedings of the International Conference on Embedded Computer Systems (SAMOS). 318--325.
[5]
Alam, S., Jones, R., Pozder, S., Chatterjee, R., Rauf, S., and Jain, A. 2010. Interstratum connection design considerations for cost-effective 3-D system integration. IEEE Trans. VLSI Syst. 18, 3, 450--460.
[6]
Antonio, J., Huang, G., and Tsai, W. 1992. A fast distributed shortest path algorithm for a class of hierarchically clustered data networks. IEEE Trans. Comput. 41, 6, 710--724.
[7]
Ascia, G., Catania, V., and Palesi, M. 2004. Multi-objective mapping for mesh-based NoC architectures. In Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis. (CODES+ISSS'04). 182--187.
[8]
Bellman, R. 1961. Adaptive Control Processes. Princeton University Press, Princeton, NJ.
[9]
Bellman, R. 2003. Dynamic Programming. Dover Books on Mathematics. Dover Publications, Mineola, NY.
[10]
Bellman, R. and Kalaba, R. 1957. On the role of dynamic programming in statistical communication theory. IRE Trans. Info. Theory 3, 3, 197--203.
[11]
Benini, L. and De Micheli, G. 2002. Networks on chips: A new SoC paradigm. IEEE Computer 35, 1, 70--78.
[12]
Bertsekas, D. 2005. Dynamic Programming and Optimal Control. Athena Scientific, Belmont, MA.
[13]
Black, B., Annavaram, M., Brekelbaum, N., DeVale, J., Jiang, L., Loh, G. H., McCaule, D., Morrow, P., Nelson, D. W., Pantuso, D., Reed, P., Rupley, J., Shankar, S., Shen, J., and Webb, C. 2006. Die stacking (3D) microarchitecture. In Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-39). 469--479.
[14]
Chao, C.-H., Jheng, K.-Y., Wang, H.-Y., Wu, J.-C., and Wu, A.-Y. 2010. Traffic- and thermal-aware run-time thermal management scheme for 3D NoC systems. In Proceedings of the 4th ACM/IEEE International Symposium on Networks-on-Chip (NOCS). 223--230.
[15]
Chiu, G.-M. 2000. The odd-even turn model for adaptive routing. IEEE Trans. Parallel Distrib. Syst. 11, 7, 729--738.
[16]
Chung, C.-C. and Yang, C.-R. 2011. An autocalibrated all-digital temperature sensor for on-chip thermal monitoring. IEEE Trans. Circ. Syst. II: Express Briefs 58, 2, 105--109.
[17]
Cormen, T. H., Leiserson, C. E., Rivest, R. L., and Stein, C. 2001. Introduction to Algorithms. MIT Press and McGraw-Hill Publishers.
[18]
Dahir, N., Mak, T., Xia, F., and Yakovlev, A. 2012. Minimizing power supply noise through harmonic mappings in networks-on-chip. In Proceedings of the 8th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'12). ACM, New York, NY, 113--122.
[19]
Dally, W. and Seitz, C. 1987. Deadlock-free message routing in multiprocessor interconnection networks. IEEE Trans. Comput. C-36, 5, 547--553.
[20]
Dally, W. and Towles, B. 2001. Route packets, not wires: On-chip interconnection networks. In Proceedings of the Design Automation Conference. 684--689.
[21]
Dally, W. J. and Towles, B. 2004. Principles and Practices of Interconnection Networks. Morgan Kaufmann Publishers, Burlington, MA.
[22]
Davis, W., Wilson, J., Mick, S., Xu, J., Hua, H., Mineo, C., Sule, A., Steer, M., and Franzon, P. 2005. Demystifying 3D ICs: The pros and cons of going vertical. IEEE Des. Test Comput. 22, 6, 498--510.
[23]
Feero, B. and Pande, P. 2009. Networks-on-chip in a three-dimensional environment: A performance evaluation. IEEE Trans. Comput. 58, 1, 32--45.
[24]
Glass, C. and Ni, L. 1992. The turn model for adaptive routing. In Proceedings of the 19th Annual International Symposium on Computer Architecture. 278--287.
[25]
Hillier, F. and Lieberman, G. 1995. Introduction to Operations Research. McGraw-Hill International Editions.
[26]
Hu, J. and Marculescu, R. 2003. Energy-aware mapping for tile-based NoC architectures under performance constraints. In Proceedings of the ASP-DAC. Asia and South Pacific Design Automation Conference. 233--239.
[27]
Huang, W., Sankaranarayanan, K., Skadron, K., Ribando, R., and Stan, M. 2008. Accurate, pre-RTL temperature-aware design using a parameterized, geometric thermal model. IEEE Trans. Comput. 57, 9, 1277--1288.
[28]
Huang, W., Skadron, K., Gurumurthi, S., Ribando, R., and Stan, M. 2009. Differentiating the roles of IR measurement and simulation for power and temperature-aware design. In Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS'09). 1--10.
[29]
Intel. 2012. The 80-core Tera-scale Research Chip. http://www.intel.com. (Last accessed 5/12).
[30]
ITRS. 2011. Technology working group report - interconnect. http://www.itrs.net. (Last accessed 3/12).
[31]
Kahng, A. B., Li, B., Peh, L.-S., and Samadi, K. 2011. ORION 2.0: A power-area simulator for interconnection networks. IEEE Trans. Integ. VLSI Syst. 99, 1--5.
[32]
Kumar, S., Jantsch, A., Soininen, J.-P., Forsell, M., Millberg, M., Oberg, J., Tiensyrja, K., and Hemani, A. 2002. A network on chip architecture and design methodology. In Proceedings of the IEEE Computer Society Annual Symposium on VLSI. 105--112.
[33]
Lam, K. and Tong, C. 1996. Closed semiring connectionist network for the Bellman-Ford computation. IEE Proc. Comput. Digital Tech. 143, 3, 189--195.
[34]
Lin, S.-Y., Yin, T.-C., Wang, H.-Y., and Wu, A.-Y. 2011. Traffic-and thermal-aware routing for throttled three-dimensional network-on-chip systems. In Proceedings of the International Symposium on VLSI Design, Automation and Test (VLSI-DAT). 1--4.
[35]
Loh, G. and Xie, Y. 2008. 3D tutorial. In Proceedings of the International Sympsoium on Computer Architecture (ISCA). 1--97.
[36]
Mak, T., Cheung, P., Lam, K.-P., and Luk, W. 2011. Adaptive routing in network-on-chips using a dynamic-programming network. IEEE Trans. Indust. Electron. 58, 8, 3701--3716.
[37]
Mak, T., Lam, K.-P., Ng, H., Rachmuth, G., and Poon, C.-S. 2010. A CMOS current-mode dynamic programming circuit. IEEE Trans. Circ. Syst. I: Regular Papers 57, 12, 3112--3123.
[38]
Mak, T., Sedcole, P., Cheung, P., Luk, W., and Lam, K. 2007. A hybrid analog-digital routing network for NoC dynamic routing. In Proceedings of the 1st International Symposium on Networks-on-Chip (NOCS'07). 173--182.
[39]
Mullins, R., West, A., and Moore, S. 2006. The design and implementation of a low-latency on-chip network. In Proceedings of the Asia and South Pacific Conference on Design Automation.
[40]
Murali, S. and De Micheli, G. 2004. Bandwidth-constrained mapping of cores onto NoC architectures. In Proceedings of the Design, Automation and Test in Europe Conference and Exhibition. Vol. 2. 896--901.
[41]
Pande, P., Grecu, C., Ivanov, A., and Saleh, R. 2003. High-throughput switch-based interconnect for future SoCs. In Proceedings of the 3rd IEEE International Workshop on System-on-Chip for Real-Time Applications. 304--310.
[42]
Pavlidis, V. and Friedman, E. 2007. 3-D topologies for networks-on-chip. IEEE Trans. Very Large Scale Integ. (VLSI) Syst. 15, 10, 1081--1090.
[43]
Peters, J., Vijayakumar, S., and Schaal, S. 2003. Reinforcement learning for humanoid robotics. In Proceedings of the 3rd IEEE-RAS International Conference on Humanoid Robotics. 1--20.
[44]
PTM. 2010. Predictive Technology Model. http://ptm.asu.edu. (Last accessed 1/11).
[45]
Rabaey, J. M., Chandrakasan, A., and Nikolic, B. 2002. Digital Integrated Circuits: A Design Perspective. Prentice Hall Upper Saddle River, N. J.
[46]
Salihundam, P., Jain, S., Jacob, T., Kumar, S., Erraguntla, V., Hoskote, Y., Vangal, S., Ruhl, G., and Borkar, N. 2011. A 2 Tb/s 64 mesh network for a single-chip cloud computer with DVFS in 45 nm CMOS. IEEE J. Solid-State Circ. 46, 4, 757--766.
[47]
Schaper, L., Burkett, S., Spiesshoefer, S., Vangara, G., Rahman, Z., and Polamreddy, S. 2005. Architectural implications and process development of 3-D VLSI -axis interconnects using through silicon vias. IEEE Trans. Adv. Packaging 28, 3, 356--366.
[48]
Schultz, W., Dayan, P., and Montague, P. R. 1997. A neural substrate of prediction and reward. Science 275, 5306, 1593--1599.
[49]
Shang, L., Peh, L., Kumar, A., and Jha, N. 2004. Thermal modeling, characterization and management of on-chip networks. In Proceedings of the 37th International Symposium on Microarchitecture (MICRO-37). 67--78.
[50]
Shih, Y.-H., Lin, S.-R., Wang, T.-M., and Hwu, J.-G. 2004. High sensitive and wide detecting range MOS tunneling temperature sensors for on-chip temperature detection. IEEE Trans. Electron Devi. 51, 9, 1514--1521.
[51]
Skadron, K., Stan, M., Huang, W., Velusamy, S., Sankaranarayanan, K., and Tarjan, D. 2003. Temperature-aware microarchitecture. In Proceedings of the 30th Annual International Symposium on Computer Architecture. 2--13.
[52]
Taylor, M., Kim, J., Miller, J., Wentzlaff, D., Ghodrat, F., Greenwald, B., Hoffman, H., Johnson, P., Lee, J.-W., Lee, W., Ma, A., Saraf, A., Seneski, M., Shnidman, N., Strumpen, V., Frank, M., Amarasinghe, S., and Agarwal, A. 2002. The Raw microprocessor: A computational fabric for software circuits and general-purpose programs. IEEE Micro 22, 2, 25--35.
[53]
Tilera. 2011. Tilera company products briefs. http://www.tilera.com. (Last accessed 12/11).
[54]
Vangal, S., Howard, J., Ruhl, G., Dighe, S., Wilson, H., Tschanz, J., Finan, D., Singh, A., Jacob, T., Jain, S., Erraguntla, V., Roberts, C., Hoskote, Y., Borkar, N., and Borkar, S. 2008. An 80-tile sub-100-W TeraFLOPS processor in 65-nm CMOS. IEEE J. Solid-State Circ. 43, 1, 29--41.

Cited By

View all
  • (2019)PID Controller Design Based on the Stabilization and Bifurcation of a Desired Equilibrium for a Delayed Complex System with a Variable ParameterProceedings of the 3rd International Conference on Computer Science and Application Engineering10.1145/3331453.3362041(1-5)Online publication date: 22-Oct-2019
  • (2019)A Non-Minimal Routing Algorithm for Aging Mitigation in 2D-Mesh NoCsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2018.285514938:7(1373-1377)Online publication date: Jul-2019
  • (2019)Thermal-aware network-on-chips: Single- and cross-layered approachesFuture Generation Computer Systems10.1016/j.future.2018.08.04191(61-85)Online publication date: Feb-2019
  • Show More Cited By

Index Terms

  1. Dynamic programming-based runtime thermal management (DPRTM): An online thermal control strategy for 3D-NoC systems

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Transactions on Design Automation of Electronic Systems
    ACM Transactions on Design Automation of Electronic Systems  Volume 19, Issue 1
    December 2013
    210 pages
    ISSN:1084-4309
    EISSN:1557-7309
    DOI:10.1145/2558148
    Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Journal Family

    Publication History

    Published: 20 December 2013
    Accepted: 01 July 2013
    Revised: 01 March 2013
    Received: 01 September 2012
    Published in TODAES Volume 19, Issue 1

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. 3D-IC
    2. Networks on chip
    3. adaptive routing
    4. dynamic programming
    5. performance analysis
    6. thermal management
    7. traffic-thermal cosimulation

    Qualifiers

    • Research-article
    • Research
    • Refereed

    Funding Sources

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)18
    • Downloads (Last 6 weeks)1
    Reflects downloads up to 16 Feb 2025

    Other Metrics

    Citations

    Cited By

    View all
    • (2019)PID Controller Design Based on the Stabilization and Bifurcation of a Desired Equilibrium for a Delayed Complex System with a Variable ParameterProceedings of the 3rd International Conference on Computer Science and Application Engineering10.1145/3331453.3362041(1-5)Online publication date: 22-Oct-2019
    • (2019)A Non-Minimal Routing Algorithm for Aging Mitigation in 2D-Mesh NoCsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2018.285514938:7(1373-1377)Online publication date: Jul-2019
    • (2019)Thermal-aware network-on-chips: Single- and cross-layered approachesFuture Generation Computer Systems10.1016/j.future.2018.08.04191(61-85)Online publication date: Feb-2019
    • (2017)TSV-Based 3-D ICs: Design Methods and ToolsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2017.266660436:10(1593-1619)Online publication date: Oct-2017
    • (2016)A New Unicast-Based Multicast Scheme for Network-on-Chip Router and Interconnect TestingACM Transactions on Design Automation of Electronic Systems10.1145/282150621:2(1-23)Online publication date: 28-Jan-2016
    • (2016)DFSB-Based Thermal Management Scheme for 3-D NoC-Bus ArchitecturesIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2015.243969824:3(920-931)Online publication date: 1-Mar-2016
    • (2016)XYZ-ZXY: A minimal routing algorithm for dynamic thermal management in 3D NoCs2016 24th Iranian Conference on Electrical Engineering (ICEE)10.1109/IranianCEE.2016.7585766(1539-1544)Online publication date: May-2016
    • (2016)Collaborative fuzzy‐based partially‐throttling dynamic thermal management scheme for three‐dimensional networks‐on‐chipIET Computers & Digital Techniques10.1049/iet-cdt.2015.019811:1(24-32)Online publication date: 30-Sep-2016

    View Options

    Login options

    Full Access

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Figures

    Tables

    Media

    Share

    Share

    Share this Publication link

    Share on social media