skip to main content
10.1145/2540708.2540727acmconferencesArticle/Chapter ViewAbstractPublication PagesmicroConference Proceedingsconference-collections
research-article

Crank it up or dial it down: coordinated multiprocessor frequency and folding control

Published: 07 December 2013 Publication History

Abstract

Dynamic power management features are now an integral part of processor chip and system design. Dynamic voltage and frequency scaling (DVFS), core folding and per-core power gating (PCPG) are power control actuators (or "knobs") that are available in modern multi-core systems. However, figuring out the actuation protocol for such knobs in order to achieve maximum efficiency has so far remained an open research problem. In the context of specific system utilization dynamics, the desirable order of applying these knobs is not easy to determine.
For complexity-effective algorithm development, DVFS, core folding and PCPG control methods have evolved in a somewhat decoupled manner. However, as we show in this paper, independent actuation of these techniques can lead to conflicting decisions that jeopardize the system in terms of power-performance efficiency. Therefore, a more robust coordination protocol is necessary in orchestrating the power management functions. Heuristics for achieving such coordinated control are already becoming available in server systems. It remains an open research problem to optimally adjust power and performance management options at run-time for a wide range of time-varying workload applications, environmental conditions, and power constraints.
This research paper contributes a novel approach for a systematically architected, robust, multi-knob power management protocol, which we empirically analyze on live server systems. We use a latest generation POWER7+ multi-core system to demonstrate the benefits of our proposed new coordinated power management algorithm (called PAMPA). We report measurement-based analysis to show that PAMPA achieves comparable power-performance efficiencies (relative to a baseline decoupled control system) while achieving conflict-free actuation and robust operation.

References

[1]
SPECpower_ssj2008 web site:. http://www.spec.org/power_ssj2008/.
[2]
ACPI. ACPI overview. http://www.acpi.info/presentations/ACPI_Overview.pdf, 2004.
[3]
A. Bhattacharjee and M. Martonosi. Thread criticality predictors for dynamic performance, power, and resource management in chip multiprocessors. In Proceedings of the 36th Annual International Symposium on Computer Architecture, ISCA '09, pages 290--301, 2009.
[4]
C. Bienia. Benchmarking Modern Multiprocessors. PhD thesis, Princeton University, January 2011.
[5]
P. Bose, A. Buyuktosunoglu, J. Darringer, M. Gupta, M. Healy, H. Jacobson, I. Nair, J. Rivers, J. Shin, A. Vega, and A. Weger. Power management of multi-core chips: Challenges and pitfalls. In Proceedings of the Design, Automation Test in Europe Conference, DATE '12, pages 977--982, 2012.
[6]
A. Branover, D. Foley, and M. Steinman. AMD Fusion APU: Llano. IEEE Micro, 32(2): 28--37, March-April 2012.
[7]
M. Broyles, C. Francois, A. Geissler, M. Hollinger, T. Rosedahl, G. Silva, J. V. Heuklon, and B. Veale. IBM EnergyScale for POWER7 processor based systems. ftp://public.dhe.ibm.com/common/ssi/ecm/en/pow03039usen/POW03039USEN.PDF, 2013.
[8]
R. Cochran, C. Hankendi, A. K. Coskun, and S. Reda. Pack & cap: adaptive DVFS and thread packing under power caps. In Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO '11, pages 175--185, 2011.
[9]
Q. Deng, D. Meisner, A. Bhattacharjee, T. F. Wenisch, and R. Bianchini. Coscale: Coordinating CPU and memory system DVFS in server systems. In Proceedings of the 45th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO '12, pages 143--154, 2012.
[10]
V. Devadas and H. Aydin. Coordinated power management of periodic real-time tasks on chip multiprocessors. In Proceedings of the International Conference on Green Computing, GREENCOMP '10, pages 61--72, 2010.
[11]
Exascale Co-Design Center for Materials in Extreme Environments (ExMatEx). CoMD: A classical molecular dynamics mini-app. http://exmatex.github.io/CoMD/doxygen-mpi/index.html.
[12]
M. Floyd, M. Allen-Ware, K. Rajamani, B. Brock, C. Lefurgy, A. Drake, L. Pesantez, T. Gloekler, J. Tierno, P. Bose, and A. Buyuktosunoglu. Introducing the adaptive energy management features of the POWER7 chip. IEEE Micro, 31(2):60--75, March-April 2011.
[13]
M. Floyd, M. Ware, K. Rajamani, T. Gloekler, B. Brock, P. Bose, A. Buyuktosunoglu, J. C. Rubio, B. Schubert, B. Spruth, J. A. Tierno, and L. Pesantez. Adaptive energy-management features of the IBM POWER7 chip. IBM Journal of Research and Development, 55(3):8:1--8:18, May-June 2011.
[14]
HP. HP Power Regulator. http://h18013.www1.hp.com/products/servers/management/ilo/power-regulator.html.
[15]
W. Huang, C. Lefurgy, W. Kuk, A. Buyuktosunoglu, M. Floyd, K. Rajamani, M. Allen-Ware, and B. Brock. Accurate fine-grained processor power proxies. In Proceedings of the 45th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO '12, pages 224--234, 2012.
[16]
IBM Corp. Virtual processor management within a partition. http://pic.dhe.ibm.com/infocenter/aix/v6r1/topic/com.ibm.aix.prftungd/doc/prftungd/virtual_proc_mngmnt_part.htm.
[17]
Intel Corp. First the tick, now the tock: Next generation Intel microarchitecture (Nehalem). http://www.intel.com/content/dam/doc/white-paper/intel-microarchitecture-white-paper.pdf.
[18]
Intel Corp. Intel® Xeon® processor E5 family. http://www.intel.com/content/www/us/en/processors/xeon/xeon-processor-5000-sequence.html.
[19]
C. Isci, A. Buyuktosunoglu, C.-Y. Cher, P. Bose, and M. Martonosi. An analysis of efficient multi-core global power management policies: Maximizing performance for a given power budget. In Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO '06, pages 347--358, 2006.
[20]
I. Karlin, J. Keasler, and R. Neely. Lulesh 2.0 updates and changes. Technical Report LLNL-TR-641973, August 2013.
[21]
J. Lee and N. S. Kim. Optimizing throughput of power- and thermal-constrained multicore processors using DVFS and per-core power-gating. In Proceedings of the 46th Annual Design Automation Conference, DAC '09, pages 47--50, 2009.
[22]
C. Lefurgy, X. Wang, and M. Ware. Server-level power control. In Proceedings of the 4th International Conference on Autonomic Computing, ICAC '07, pages 4--, 2007.
[23]
C. R. Lefurgy, A. J. Drake, M. S. Floyd, M. S. Allen-Ware, B. Brock, J. A. Tierno, and J. B. Carter. Active management of timing guardband to save energy in POWER7. In Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO '11, pages 1--11, 2011.
[24]
K. Ma and X. Wang. PGCapping: exploiting power gating for power capping and core lifetime balancing in CMPs. In Proceedings of the 21st International Conference on Parallel Architectures and Compilation Techniques, PACT '12, pages 13--22, 2012.
[25]
N. Madan, A. Buyuktosunoglu, P. Bose, and M. Annavaram. A case for guarded power gating for multi-core processors. In Proceedings of the 17th International Symposium on High-Performance Computer Architecture, HPCA '11, pages 291--300, 2011.
[26]
A. Mericas, B. Elkin, and V. R. Indukuru. Comprehensive PMU event reference - POWER7. http://www.power.org/documentation/comprehensive-pmu-event-reference-power7/.
[27]
P. R. Panda, A. Shrivastava, B. Silpa, and K. Gummidipudi. Power-Efficient System Design. Springer, 1st edition, 2010.
[28]
R. Raghavendra, P. Ranganathan, V. Talwar, Z. Wang, and X. Zhu. No "power" struggles: coordinated multi-level power management for the data center. In Proceedings of the 13th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS XIII, pages 48--59, 2008.
[29]
K. Rajamani, F. Rawson, M. Ware, H. Hanson, J. Carter, T. Rosedahl, A. Geissler, G. Silva, and H. Hua. Power-performance management on an IBM POWER7 server. In Proceedings of the 16th ACM/IEEE International Symposium on Low Power Electronics and Design, ISLPED '10, pages 201--206, 2010.
[30]
E. Rotem. Power management architecture of the 2nd generation Intel Core#8482; microarchitecture, formerly codenamed Sandy Bridge. In 23rd Hot Chips Symposium, August 2011.
[31]
B. Steigerwald, C. Lucero, C. Akella, and A. Agrawal. Energy Aware Computing: Powerful Approaches for Green System Design. Intel Press, 1st edition, 2011.
[32]
D. Tam, R. Azimi, and M. Stumm. Thread clustering: sharing-aware scheduling on SMP-CMP-SMT multiprocessors. In Proceedings of the 2nd ACM SIGOPS/EuroSys European Conference on Computer Systems 2007, EuroSys '07, pages 47--58, 2007.
[33]
S. Taylor. POWER7+#8482;: IBM's next generation POWER microprocessor. In 24th Hot Chips Symposium, August 2012.
[34]
A. Vassighi and M. Sachdev. Thermal and Power Management of Integrated Circuits. Springer, 1st edition, 2006.
[35]
VMware. Host power management in VMware vSphere®5. http://www.vmware.com/files/pdf/hpm-perf-vsphere5.pdf, 2010.

Cited By

View all
  • (2023)Fine-Grained Online Energy Management of Edge Data Centers Using Per-Core Power Gating and Dynamic Voltage and Frequency ScalingIEEE Transactions on Sustainable Computing10.1109/TSUSC.2023.32504878:3(522-536)Online publication date: 1-Jul-2023
  • (2022)TokenSmart: Distributed, Scalable Power Management in the Many-core EraACM Transactions on Architecture and Code Optimization10.1145/355976220:1(1-26)Online publication date: 17-Nov-2022
  • (2022)Adaptive Power Shifting for Power-Constrained Heterogeneous SystemsIEEE Transactions on Computers10.1109/TC.2022.3174545(1-1)Online publication date: 2022
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
MICRO-46: Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture
December 2013
498 pages
ISBN:9781450326384
DOI:10.1145/2540708
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 07 December 2013

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. dynamic voltage and frequency scaling
  2. multi-core systems
  3. per-core power gating
  4. robust power management

Qualifiers

  • Research-article

Funding Sources

Conference

MICRO-46
Sponsor:

Acceptance Rates

MICRO-46 Paper Acceptance Rate 39 of 239 submissions, 16%;
Overall Acceptance Rate 484 of 2,242 submissions, 22%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)4
  • Downloads (Last 6 weeks)1
Reflects downloads up to 15 Feb 2025

Other Metrics

Citations

Cited By

View all
  • (2023)Fine-Grained Online Energy Management of Edge Data Centers Using Per-Core Power Gating and Dynamic Voltage and Frequency ScalingIEEE Transactions on Sustainable Computing10.1109/TSUSC.2023.32504878:3(522-536)Online publication date: 1-Jul-2023
  • (2022)TokenSmart: Distributed, Scalable Power Management in the Many-core EraACM Transactions on Architecture and Code Optimization10.1145/355976220:1(1-26)Online publication date: 17-Nov-2022
  • (2022)Adaptive Power Shifting for Power-Constrained Heterogeneous SystemsIEEE Transactions on Computers10.1109/TC.2022.3174545(1-1)Online publication date: 2022
  • (2021)Intelligent Management of Mobile Systems Through Computational Self-AwarenessHandbook of Research on Methodologies and Applications of Supercomputing10.4018/978-1-7998-7156-9.ch004(41-73)Online publication date: 2021
  • (2021)Optimal Sprinting Pattern in Thermal Constrained CMPsIEEE Transactions on Emerging Topics in Computing10.1109/TETC.2019.28908679:1(484-495)Online publication date: 1-Jan-2021
  • (2021)Intelligent Adaptation of Hardware Knobs for Improving Performance and Power ConsumptionIEEE Transactions on Computers10.1109/TC.2020.298023070:1(1-16)Online publication date: 1-Jan-2021
  • (2021)TokenSmart: Distributed, Scalable Power Management in the Many-Core EraIEEE Computer Architecture Letters10.1109/LCA.2021.306444120:1(42-45)Online publication date: 1-Jan-2021
  • (2020)Single-Input Multiple-Output Control for Multi-Goal Orchestration2020 IEEE/ACM 13th International Conference on Utility and Cloud Computing (UCC)10.1109/UCC48980.2020.00039(206-215)Online publication date: Dec-2020
  • (2020)Pursuing Extreme Power Efficiency With PPCC Guided NoC DVFSIEEE Transactions on Computers10.1109/TC.2019.294980769:3(410-426)Online publication date: 1-Mar-2020
  • (2020)Control Systems for Computing Systems: Making computers efficient with modular, coordinated, and robust controlIEEE Control Systems10.1109/MCS.2019.296173340:2(30-55)Online publication date: Apr-2020
  • Show More Cited By

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Figures

Tables

Media

Share

Share

Share this Publication link

Share on social media