skip to main content
research-article

Towards a scalable, low-power all-optical architecture for networks-on-chip

Published:28 March 2014Publication History
Skip Abstract Section

Abstract

This article proposes a scalable wavelength-routed optical Network on Chip (NoC) based on the Spidergon topology, named Power-efficient Scalable Wavelength-routed Network-on-chip (PeSWaN). The key idea of the proposed all-optical architecture is the utilization of per-receiver wavelengths in the data network to prevent network contention and the adoption of per-sender wavelengths in the control network to avoid end-point contention. By performing a series of simulations, we study the efficiency of the proposed architecture, its power and energy consumption, and the data transmission delay. Moreover, we compare the proposed architecture with electrical NoCs and alternative ONoC architectures under various traffic patterns.

References

  1. L. Bononi and N. Concer. 2006. Simulation and analysis of network on chip architectures: ring, spidergon and 2D mesh. In Proceedings of the Conference on Design, Automation and Test in Europe. 154--159. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. M. Briere, B. Girodias, Y. Bouchebaba, G. Nicolescu, F. Mieyeville, F. Gaffiot, and I. O'Connor. 2007. System level assessment of an optical NoC in an MPSoC platform. In Proceedings of the Conference on Design, Automation and Test in Europe. 1084--1089. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. G. Chen, H. Chen, M. Haurylau, N. A. Nelson, D. H. Albonesi, P. M. Fauchet, and E. G. Friedman. 2007. Predictions of CMOS compatible on-chip optical interconnect. Integrat. VLSI J. 40, 4, 434--446. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. M. J. Cianchetti, J. C. Kerekes, and D. H. Albonesi. 2009. Phastlane: a rapid transit optical routing network. ACM SIGARCH Computer Architec. News 37, 3, 441--450. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. M. Coppola, R. Locatelli, G. Maruccia, L. Pieralisi, and M. D. Grammatikakis. 2005. Spidergon: A NoC modeling paradigm. In Model Driven Engineering for Distributed Real-time Embedded Systems.Google ScholarGoogle Scholar
  6. D. P. Darcy and C. F. Kemerer. 2009. The International Technology Roadmap for Semiconductors. http://public.itrs.net.Google ScholarGoogle Scholar
  7. J. Gray. 2003. What next? A dozen information-technology research goals. J. ACM 50, 1, 41--57. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. H. Gu, J. Xu, and W. Zhang. 2009a. A low-power fat tree-based optical network-on-chip for multiprocessor system-on-chip. In Proceedings of the Conference on Design, Automation and Test in Europe. 3--8 Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. H. Gu, J. Xu, and Z. Wang. 2009b. A novel optical mesh network-on-chip for gigascale systems-on-chip. In Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems. 1728--1731.Google ScholarGoogle Scholar
  10. H. Gu, K. H. Mo, J. Xu, W. Zhang. 2009. A low-power low-cost optical router for optical networks-on-chip in multiprocessor systems-on-chip. In Proceedings of the Annual Symposium on VLSI. 19--24. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. C. Guillemot, M. Renaud, P. Gambini, et al. 1998. Transparent optical packet switching: The European ACTS KEOPS project approach. J Lightwave Technol. 16, 12, 2117--2134.Google ScholarGoogle ScholarCross RefCross Ref
  12. M. Haurylau, G. Chen, H. Chen, J. Zhang, N. A. Nelson, D. H. Albonesi, E. G. Friedman, and P. M. Fauchet. 2007. On-chip optical interconnect roadmap: Challenges and critical directions. IEEE J. Sel. Top. Quantum Electron. 12, 6, 1699--1705.Google ScholarGoogle ScholarCross RefCross Ref
  13. J. Held, J. Bautista, and S. Koehl. 2006. From a few cores to many: A tera-scale computing research overview. Intel white paper.Google ScholarGoogle Scholar
  14. R. Ho. 2006. Wire scaling and trends. MTO DARPA Meeting, Sun Microsystems Laboratories.Google ScholarGoogle Scholar
  15. I. Hsieh. 2006. Ultrafast-pulse self-phase modulation and third-order dispersion in Si photonic wirewaveguides. Opt. Express 14, 25, 12380--12387.Google ScholarGoogle ScholarCross RefCross Ref
  16. A. Joshi, C. Batten, Y.-J. Kwon, S. Beamer, I. Shamim, K. Asanovic, and V. Stojanovi. 2009. Siliconphotonic clos networks for global on-chip communication. In Proceedings of the IEEE/ACM International. Symposium on Networks-on-Chip. 124--133. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. N. Kirman, M. Kirman, R. K. Dokania, J. F. Martinez, A. B. Apsel, M. A. Watkins, and D. H. Albonesi. 2006. Leveraging optical technology in future bus-based chip multiprocessors. In Proceedings of the IEEE/ACM Annual International Symposium on Microarchitecture. IEEE, 492--503. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. N. Kirman and J. F. Martinez. 2010. A power-efficient all-optical on-chip interconnect using wavelength-based oblivious routing. In Proceedings of the International. Conference on Architectural Support for Programming Languages and Operating Systems. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. B. R. Koch, A. W. Fang, O. Cohen, and J. E. Bowers. 2007. Mode-locked silicon evanescent lasers. Opt. Express 15, 18, 11225--11233.Google ScholarGoogle ScholarCross RefCross Ref
  20. S. Koohi, M. Mirza-Aghatabar, and S. Hessabi. 2007. Evaluation of traffic pattern effect on power consumption in mesh and torus-based Network-on-Chips. In Proceedings of the International Symposium on Integrated Circuits.Google ScholarGoogle Scholar
  21. S. Koohi and S. Hessabi. 2009. Contention-free on-chip routing of optical packets. In Proceedings of the IEEE International Symposium on Networks-on-Chip. 134--143. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. P. Koonath, T. Indukuri, B. Jalali. 2009. Add-drop filters utilizing vertically coupled microdisk resonatorsin silicon. Appl. Phys. Lett. 86, 9, 091102--091102.Google ScholarGoogle ScholarCross RefCross Ref
  23. B. G. Lee, A. Biberman, P. Dong, M. Lipson, and K. Bergman. 2008. All-optical comb switch for multiwavelength message routing in silicon photonic networks. IEEE Photonics Technol. Lett. 20, 10, 767--769.Google ScholarGoogle ScholarCross RefCross Ref
  24. M. Lipson. 2005. Guiding, modulating, and emitting light on silicon-challenges and opportunities. J. Lightwave Technol. 23, 12, 4222.Google ScholarGoogle ScholarCross RefCross Ref
  25. M. Malumbres and J. Duato. 2000. An efficient implementation of tree-based multicast routing for distributed shared-memory multiprocessors. J. Syst. Archit. 46, 11, 1019--1032.Google ScholarGoogle ScholarCross RefCross Ref
  26. S. Manipatruni, Q. Xu, and M. Lipson. 2007. PINIP based high-speed high-extinction ratio micron-size silicon electrooptic modulator. Opt. Express 15, 20, 13035--13042.Google ScholarGoogle ScholarCross RefCross Ref
  27. I. O'Connor and F. Gaffiot. 2004. On-chip optical interconnect for low-power. Ultra Low-Power Electron. Des. 21--39.Google ScholarGoogle Scholar
  28. Y. Pan, P. Kumar, J. Kim, G. Memik, Y. Zhang, and A. Choudhary. 2009. Firefly: Illuminating future network-on-chip with nanophotonics. In Proceedings of the ACM International Symposium on Computer Architecture. 429--440. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. K. Saraswat and F. Mohammadi. 1982. Effect of scaling of interconnections on the time delay of VLSI circuits. IEEE J. Solid-State Circuits 17, 2, 275--280.Google ScholarGoogle ScholarCross RefCross Ref
  30. A. Shacham, K. Bergman, and L. P. Carloni. 2007. On the design of a photonic network-on-chip. In Proceedings of the IEEE International Symposium on Networks-on-Chip. 53--64. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. A. Shacham, K. Bergman, and L. P. Carloni. 2008. Photonic networks-on-chip for future generations of chip multiprocessors. IEEE Trans. Comput. 57, 9, 1246--1260. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. N. Sherwood-Droz, H. Wang, L. Chen, B. G. Lee, A. Biberman, K. Bergman, and M. Lipson. 2008. Optical 4×4 hitless slicon router for optical networks-on-chip (NoC). Opt Express 16, 20, 15915--15922.Google ScholarGoogle ScholarCross RefCross Ref
  33. B. A. Small, B. G. Lee, K. Bergman, Q. Xu, and M. Lipson. 2007. Multiple-wavelength integrated photonic networks based on microring resonator devices. J. Opt. Netw. 6, 2, 112--120.Google ScholarGoogle ScholarCross RefCross Ref
  34. D. Vantrease, R. Schreiber, M. Monchiero, M. McLaren, N. P. Jouppi, M. Fiorentino, A. Davis, N. Binkert, R. G. Beausoleil, and J. H. Ahn. 2008. Corona: System implications of emerging nanophotonic technology. In Proceedings of the IEEE/ACM International Symposium on Computer Architecture. 153--164. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. D. M. Vantrease. 2010. Optical tokens in many-core processors. Ph.D. dissertation, University of Wisconsin. Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. A. Varga. 2001. The OMNeT++ Discrete Event Simulation System. 319--324.Google ScholarGoogle Scholar
  37. S. C. Woo, M. Ohara, E. Torrie, J. P. Singh, and A. Gupta. 1995. The SPLASH-2 programs: Characterization and methodological considerations. In Proceedings of the ACM International Symposium on Computer Architecture. 24--36. Google ScholarGoogle ScholarDigital LibraryDigital Library
  38. H. Xu, P. K. McKinley, and L. M. Ni. 1992. Efficient implementation of barrier synchronization in wormhole-routed hypercube multicomputers. J. Parallel Distrib. Comput. 16, 2, 172--184.Google ScholarGoogle ScholarCross RefCross Ref
  39. L. Zhou, S. S. Djordjevic, R. Proietti, D. Ding, S. J. B. Yoo, R. Amirtharajah, and V. Akella. 2009. Design and evaluation of an arbitration-free passive optical crossbar for on-chip interconnection networks. Appl. Phys A 95, 4, 1111--1118.Google ScholarGoogle ScholarCross RefCross Ref

Index Terms

  1. Towards a scalable, low-power all-optical architecture for networks-on-chip

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in

    Full Access

    • Published in

      cover image ACM Transactions on Embedded Computing Systems
      ACM Transactions on Embedded Computing Systems  Volume 13, Issue 3s
      Special Issue on Design Challenges for Many-Core Processors, Special Section on ESTIMedia'13 and Regular Papers
      March 2014
      403 pages
      ISSN:1539-9087
      EISSN:1558-3465
      DOI:10.1145/2597868
      Issue’s Table of Contents

      Copyright © 2014 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 28 March 2014
      • Accepted: 1 July 2013
      • Revised: 1 May 2013
      • Received: 1 November 2012
      Published in tecs Volume 13, Issue 3s

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article
      • Research
      • Refereed

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader