skip to main content
research-article

Thermal Optimization in Network-on-Chip-Based 3D Chip Multiprocessors Using Dynamic Programming Networks

Published: 01 April 2014 Publication History

Abstract

The substantial silicon density in 3D VLSI, albeit its numerous advantages, introduces serious thermal threats that would lead to faults and system failures. This article introduces a new strategy to effectively diffuse heat from NoC-based 3D CMPs. Runtime Dynamic Programming Network (DPN) is proposed to optimize routing directions and provide silicon temperature moderation. Both on-chip reliability and computational performance have been improved by 63% and 27%, respectively, with the DPN approach. This work enables a new avenue to explore the adaptability for future large-scale 3D integration.

References

[1]
C. Ababei, H. S. Kia, O. P. Yadav, and J. Hu. 2011. Energy and reliability oriented mapping for regular networks-on-chip. In Proceedings of the 5th IEEE/ACM International Symposium on Networks on Chip (NoCS'11). 121--128.
[2]
R. Al-Ujaily, T. Mak, F. Xia, A. Yakovlev, and M. Palesi. 2012. Embedded transitive closure network for runtime deadlock detection in networks-on-chip. IEEE Trans. Parallel Distrib. Syst. 23, 7, 1205--1215.
[3]
L. Benini and G. De Micheli. 2002. Networks on chips: A new soc paradigm. IEEE Comput. 35, 1, 70--78.
[4]
S. Borkar. 2007. Thousand core chips: A technology perspective. In Proceedings of the 44th Annual Design Automation Conference (DAC'07). ACM Press, New York, 746--749.
[5]
D. Brooks, R. P. Dick, R. Joseph, and L. Shang. 2007. Power, thermal, and reliability modeling in nanometer-scale microprocessors. IEEE Micro 27, 49--62.
[6]
C.-H. Chao, K.-Y. Jheng, H.-Y. Wang, J.-C. Wu, and A.-Y. Wu. 2010. Traffic-and thermal-aware run-time thermal management scheme for 3d noc systems. In Proceedings of the 4th ACM/IEEE International Symposium on Networks-on-Chip (NOCS'10). 223--230.
[7]
G.-M. Chiu. 2000. The odd-even turn model for adaptive routing. IEEE Trans. Parallel Distrib. Syst. 11, 7, 729--738.
[8]
T. H. Cormen, C. E. Leiserson, and R. L. Rivest. 2001. Introduction to Algorithms. MIT Press and McGraw-Hill Publishers.
[9]
A. Coskun, T. Rosing, and K. Whisnant. 2007. Temperature aware task scheduling in mpsocs. In Proceedings of the Design, Automation Test in Europe Conference and Exhibition (DATE'07). 1--6.
[10]
N. Dahir, T. Mak, R. Al-Dujaily, and A. Yakovlev. 2013. Highly adaptive and deadlock-free routing for three-dimensional networks-on-chip. IET Comput. Digital Techniques 7, 255--263.
[11]
N. Dahir, T. Mak, F. Xia, and A. Yakovlev. 2012. Minimizing power supply noise through harmonic mappings in networks-on-chip. In Proceedings of the 8th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'12). ACM Press, New York, 113--122.
[12]
W. Dally and C. Seitz. 1987. Deadlock-free message routing in multiprocessor interconnection networks. IEEE Trans. Comput. C-36, 5, 547--553.
[13]
J. Donald and M. Martonosi. 2006. Techniques for multicore thermal management: Classification and new exploration. In Proceedings of the 33rd International Symposium on Computer Architecture (ISCA'06). 78--88.
[14]
F. Fazzino, M. Palesi, and D. Patti. 2008. Noxim: Network-on-chip simulator. http://sourceforge.net/projects/noxim.
[15]
Y. Ge, P. Malani, and Q. Qiu. 2010. Distributed task migration for thermal management in many-core systems. In Proceedings of the 47th Design Automation Conference (DAC'10). ACM Press, New York, 579--584.
[16]
J. Hu and R. Marculescu. 2003. Energy-aware mapping for tile-based noc architectures under performance constraints. In Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC'03). 233--239.
[17]
J. Hu and R. Marculescu. 2004. Energy-aware communication and task scheduling for network-on-chip architectures under real-time constraints. In Proceedings of the Design, Automation and Test in Europe Conference and Exhibition, vol. 1. 234--239.
[18]
W. Huang, S. Ghosh, S. Velusamy, K. Sankaranarayanan, K. Skadron, and M. Stan. 2006. Hotspot: A compact thermal modeling methodology for early-stage vlsi design. IEEE Trans. VLSI Syst. 14, 5, 501--513.
[19]
W. Huang, K. Sankaranarayanan, K. Skadron, R. Ribando, and M. Stan. 2008. Accurate, pre-rtl temperature-aware design using a parameterized, geometric thermal model. IEEE Trans. Comput. 57, 9, 1277--1288.
[20]
W. Huang, K. Skadron, S. Gurumurthi, R. Ribando, and M. Stan. 2009. Differentiating the roles of ir measurement and simulation for power and temperature-aware design. In Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS'09). 1--10.
[21]
ITRS. 2011. The international technology roadmap for semiconductors (itrs), interconnects. http://www.itrs.net/.
[22]
JEDEC. 2002. Failure mechanisms and models for semiconductor devices. JEDEC Publication JEP122-A. http://www.jedec.org/standards-documents.
[23]
A. B. Kahng, B. Li, L. S. Peh, and K. Samadi. 2011. Orion 2.0: A power-area simulator for interconnection networks. IEEE Trans. VLSI Syst. 99, 1--5.
[24]
S.-Y. Lin, T.-C. Yin, H.-Y. Wang, and A.-Y. Wu. 2011. Traffic-and thermal-aware routing for throttled three-dimensional network-on-chip systems. In Proceedings of the International Symposium on VLSI Design, Automation and Test (VLSI-DAT'11). 1--4.
[25]
C.-L. Lung, Y.-L. Ho, D.-M. Kwai, and S.-C. Chang. 2011. Thermal-aware on-line task allocation for 3d multi-core processor throughput optimization. In Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE'11). 1--6.
[26]
T. Mak, R. Al-Dujaily, K. Zhou, K.-P. Lam, Y. Meng, A. Yakovlev, and C.-S. Poon. 2011a. Dynamic programming networks for large-scale 3d chip integration. IEEE Circ. Syst. Mag. 11, 3, 51--62.
[27]
T. Mak, P. Y. Cheung, W. Luk, and K. P. Lam. 2009. A dp-network for optimal dynamic routing in network-on-chip. In Proceedings of the 7th IEEE/ACM International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'09). ACM Press, New York, 119--128.
[28]
T. Mak, P. Y. K. Cheung, K.-P. Lam, and W. Luk. 2011b. Adaptive routing in network-on-chips using a dynamic-programming network. IEEE Trans. Industr. Electron. 58, 8, 3701--3716.
[29]
T. Mak, K.-P. Lam, H. Ng, G. Rachmuth, and C.-S. Poon. 2010. A cmos current-mode dynamic programming circuit. IEEE Trans. Circ. Syst. I: Regular Papers 57, 12, 3112--3123.
[30]
R. Marculescu, U. Ogras, L.-S. Peh, N. Jerger, and Y. Hoskote. 2009. Outstanding research problems in noc design: System, microarchitecture, and circuit perspectives. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 28, 1, 3--21.
[31]
S. Murali and G. De Icheli. 2004. Bandwidth-constrained mapping of cores onto noc architectures. In Proceedings of the Conference on Design, Automation and Test in Europe Conference and Exhibition (DATE'04). Vol. 2, IEEE Computer Society, 896--901.
[32]
P. Pande, C. Grecu, A. Ivanov, and R. Saleh. 2003. High-throughput switch-based interconnect for future socs. In Proceedings of the 3rd IEEE International Workshop on System-on-Chip for Real-Time Applications. 304--310.
[33]
M. Rahaman and M. Chowdhury. 2009. Crosstalk avoidance and error-correction coding for coupled rlc interconnects. In Proceedings of the International Symposium on Circuits and Systems (ISCAS'09). IEEE. 141--144.
[34]
M. Sadri, A. Bartolini, and L. Benini. 2011. Single-chip cloud computer thermal model. In Proceedings of the 17th International Workshop on Thermal Investigations of ICs and Systems (THERMINIC'11). 1--6.
[35]
O. Semenov, A. Vassighi, and M. Sachdev. 2006. Impact of self-heating effect on long-term reliability and performance degradation in cmos circuits. IEEE Trans. Device Mater. Reliab. 6, 1, 17--27.
[36]
L. Shang, L. Peh, A. Kumar, and N. Jha. 2004. Thermal modeling, characterization and management of on-chip networks. In Proceedings of the 37th International Symposium on Microarchitecture (MICRO'04). 67--78.
[37]
K. Skadron, M. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, and D. Tarjan. 2003. Temperature-aware microarchitecture. In Proceedings of the 30th Annual International Symposium on Computer Architecture. 2--13.
[38]
J. Srinivasan, S. Adve, P. Bose, and J. Rivers. 2004a. The case for lifetime reliability-aware microprocessors. In Proceedings of the 31st Annual International Symposium on Computer Architecture. 276--287.
[39]
J. Srinivasan, S. Adve, P. Bose, and J. Rivers. 2004b. The impact of technology scaling on lifetime reliability. In Proceedings of the International Conference on Dependable Systems and Networks. 177--186.
[40]
M. Taylor, J. Kim, J. Miller, D. Wentzlaff, F. Ghodrat, B. Greenwald, H. Hoffman, P. Johnson, J.-W. Lee, W. Lee, A. Ma, A. Saraf, M. Seneski, N. Shnidman, V. Strumpen, M. Frank, S. Amarasinghe, and A. Agarwal. 2002. The raw microprocessor: a computational fabric for software circuits and general-purpose programs. IEEE Micro. 22, 2, 25--35.
[41]
S. Vangal, J. Howard, G. Ruhl, S. Dighe, H. Wilson, J. Tschanz, D. Finan, P. Iyer, A. Singh, and T. Jacob. 2007. An 80-tile 1.28 tflops network-on-chip in 65nm cmos. In Proceedings of the IEEE International Solid-State Circuits Digest of Technical Papers Conference (ISSCC'07). 98--589.
[42]
S. R. Vangal, J. Howard, G. Ruhl, S. Dighe, H. Wilson, J. Tschanz, D. Finan, A. Singh, T. Jacob, S. Jain, V. Erraguntla, C. Roberts, Y. Hoskote, N. Borkar, and S. Borkar. 2008. An 80-tile sub-100-w teraflops processor in 65-nm cmos. IEEE J. Solid-State Circ. 43, 1, 29--41.
[43]
Y. Xie and W. Wolf. 2001. Allocation and scheduling of conditional task graph in hardware/software co-synthesis. In Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE'01). 620--625.

Cited By

View all
  • (2022)Thermal and Performance Efficient On-Chip Surface-Wave Communication for Many-Core Systems in Dark Silicon EraACM Journal on Emerging Technologies in Computing Systems10.1145/350177118:3(1-18)Online publication date: 22-Mar-2022
  • (2019)Thermal-aware network-on-chips: Single- and cross-layered approachesFuture Generation Computer Systems10.1016/j.future.2018.08.04191(61-85)Online publication date: Feb-2019
  • (2018)Design and implementation of multi-mode routers for large-scale inter-core networksIntegration, the VLSI Journal10.1016/j.vlsi.2015.10.00253:C(1-13)Online publication date: 28-Dec-2018
  • Show More Cited By

Index Terms

  1. Thermal Optimization in Network-on-Chip-Based 3D Chip Multiprocessors Using Dynamic Programming Networks

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Transactions on Embedded Computing Systems
      ACM Transactions on Embedded Computing Systems  Volume 13, Issue 4s
      Special Issue on Real-Time and Embedded Technology and Applications, Domain-Specific Multicore Computing, Cross-Layer Dependable Embedded Systems, and Application of Concurrency to System Design (ACSD'13)
      July 2014
      571 pages
      ISSN:1539-9087
      EISSN:1558-3465
      DOI:10.1145/2601432
      Issue’s Table of Contents
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Journal Family

      Publication History

      Published: 01 April 2014
      Accepted: 01 November 2013
      Revised: 01 May 2013
      Received: 01 August 2012
      Published in TECS Volume 13, Issue 4s

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. 3D-IC
      2. Networks-on-chip
      3. adaptive routing
      4. dynamic programming
      5. performance analysis
      6. reliability
      7. runtime thermal management

      Qualifiers

      • Research-article
      • Research
      • Refereed

      Funding Sources

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)7
      • Downloads (Last 6 weeks)0
      Reflects downloads up to 16 Feb 2025

      Other Metrics

      Citations

      Cited By

      View all
      • (2022)Thermal and Performance Efficient On-Chip Surface-Wave Communication for Many-Core Systems in Dark Silicon EraACM Journal on Emerging Technologies in Computing Systems10.1145/350177118:3(1-18)Online publication date: 22-Mar-2022
      • (2019)Thermal-aware network-on-chips: Single- and cross-layered approachesFuture Generation Computer Systems10.1016/j.future.2018.08.04191(61-85)Online publication date: Feb-2019
      • (2018)Design and implementation of multi-mode routers for large-scale inter-core networksIntegration, the VLSI Journal10.1016/j.vlsi.2015.10.00253:C(1-13)Online publication date: 28-Dec-2018
      • (2018)Energy and performance-aware application mapping for inhomogeneous 3D networks-on-chipJournal of Systems Architecture10.1016/j.sysarc.2018.08.00289(103-117)Online publication date: Sep-2018
      • (2018)Problems and challenges of emerging technology networksonchipMicroprocessors & Microsystems10.1016/j.micpro.2017.07.00453:C(1-20)Online publication date: 28-Dec-2018
      • (2017)Kalman Predictor-Based Proactive Dynamic Thermal Management for 3-D NoC Systems With Noisy Thermal SensorsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2017.266180836:11(1869-1882)Online publication date: Nov-2017

      View Options

      Login options

      Full Access

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Figures

      Tables

      Media

      Share

      Share

      Share this Publication link

      Share on social media