skip to main content
10.1145/2591513.2591542acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
research-article

Minimum implant area-aware gate sizing and placement

Published:20 May 2014Publication History

ABSTRACT

With reduction of minimum feature size, the minimum implant area (MinIA) constraint is emerging as a new challenge for the physical implementation flow in sub-22nm technology. In particular, the MinIA constraint induces a new problem formulation wherein gate sizing and V_t-swapping must now be linked closely with detailed placement changes. To solve this new problem, we propose heuristic methods that fix MinIA violations and reduce power with gate sizing while minimizing placement perturbation to avoid creating extra timing violations. Compared to recent versions of commercial P&R tools, our methodologies achieve significant reductions (up to 100%) in the number of MinIA violations under timing/power constraints.

References

  1. U. Brenner and J. Vygen,"Faster Optimal Single-Row Placement with Fixed Ordering", Proc. DATE, 2000, pp. 117--121. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. A. Chakraborty, S. X. Shi and D. Z. Pan,"Stress Aware Layout Optimization Leveraging Active Area Dependent Mobility Enhancement", IEEE Trans. on CAD 29(10) (2010), pp. 1533--1545. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. P. Gupta, A. B. Kahng and C.-H. Park,"Detailed Placement for Improved Depth of Focus and CD Control", Proc. ASPDAC, 2005, pp. 343--348. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. ITRS Low-Power Design Technology Roadmap,Design Chapter Table DESN14, 2011.http://public.itrs.net/reports.htmlGoogle ScholarGoogle Scholar
  5. V. Joshi, B. Cline, D. Sylvester, D. Blaauw and K. Agarwal,"Leakage Power Reduction Using Stress-Enhanced Layouts", Proc. DAC, 2008, pp. 912--917. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. % go with the winnersJ. Hu, A. B. Kahng, S. Kang, M.-C. Kim and I. L. Markov,"Sensitivity-Guided Metaheuristics for Accurate Discrete Gate Sizing", Proc. ICCAD, 2012, pp. 233--239. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. A. B. Kahng, S. Kang, H. Lee, I. L. Markov and P. Thapar, "High-Performance Gate Sizing with a Signoff Timer", Proc. ICCAD, 2013, pp. 450--457. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. A. B. Kahng, I. L. Markov and S. Reda,"On Legalization of Row-Based Placements", Proc. GLSVLSI, 2004, pp. 214--219. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. A. B. Kahng, P. Sharma and R. O. Topaloglu,"Exploiting STI Stress for Performance", Proc. ICCAD, 2007, pp. 83--90. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. A. B. Kahng, P. Tucker and A. Zelikovsky,"Optimization of Linear Placements for Wirelength Minimization with FreeSites", Proc. ASPDAC, 1999, pp. 241--244.Google ScholarGoogle ScholarCross RefCross Ref
  11. J. Lee and P. Gupta,"Incremental Gate Sizing for Late Process Changes", Proc. ICCD, 2010, pp. 215--221.Google ScholarGoogle ScholarCross RefCross Ref
  12. J. Lee and P. Gupta,"Discrete Circuit Optimization", Foundations and Trends in Electronic Design Automation6(1) (2012), pp. 1--120.Google ScholarGoogle Scholar
  13. J. Li, B. Yang, X. Hu, Q. Dong and S. Nakatake,"STI Stress Aware Placement Optimization Based On Geometric Programming", Proc. GLSVLSI, 2009, pp. 209--214. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. T. Luo, D. Newmark and D. Z. Pan,"Total Power Optimization Combining Placement, Sizing and Multi-Vt ThroughSlack Distribution Management", Proc. ASPDAC, 2008, pp. 352--357. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. L. Remy, P. Coll, F. Picot, P. Mico and J.-M. Portal, "Definition of an Innovative Filling Structure for Digital Blocks: the DFMFiller Cell", Proc. ICECS, 2009, pp. 73--76.Google ScholarGoogle Scholar
  16. Cadence SOC Encounter User Guide.http://www.cadence.com/products/di/first_encounter/pages/default.aspxGoogle ScholarGoogle Scholar
  17. LEF DEF reference.http://www.si2.org/openeda.si2.org/projects/lefdefGoogle ScholarGoogle Scholar
  18. Mentor Graphics Olympus-SoC.http://www.mentor.com/products/ic_nanometer_design/place-route/olympus-socGoogle ScholarGoogle Scholar
  19. OpenCores: Open Source IP-Cores, http://www.opencores.orgGoogle ScholarGoogle Scholar
  20. Si2 OpenAccess.http://www.si2.org/?page=69Google ScholarGoogle Scholar
  21. Synopsys IC Compiler User Guide.http://www.synopsys.com/Tools/Implementation/PhysicalImplementation/Pages/ICCompiler.aspxGoogle ScholarGoogle Scholar
  22. Synopsys Design Compiler User Guide. http://www.synopsys.com/Tools/Implementation/RTLSynthesis/DCUltra/PagesGoogle ScholarGoogle Scholar
  23. Tcl/Tk Built-in Commands Manual.http://www.tcl.tk/man/tcl8.4/TclCmdGoogle ScholarGoogle Scholar
  24. UCSD SensOpt Leakage Optimizer (A. B. Kahng and S. Kang, 2010--2011),\http://vlsicad.ucsd.edu/SIZING/optimizer.htmlGoogle ScholarGoogle Scholar

Index Terms

  1. Minimum implant area-aware gate sizing and placement

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        GLSVLSI '14: Proceedings of the 24th edition of the great lakes symposium on VLSI
        May 2014
        376 pages
        ISBN:9781450328166
        DOI:10.1145/2591513

        Copyright © 2014 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 20 May 2014

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Author Tags

        Qualifiers

        • research-article

        Acceptance Rates

        GLSVLSI '14 Paper Acceptance Rate49of179submissions,27%Overall Acceptance Rate312of1,156submissions,27%

        Upcoming Conference

        GLSVLSI '24
        Great Lakes Symposium on VLSI 2024
        June 12 - 14, 2024
        Clearwater , FL , USA

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader