skip to main content
10.1145/2593069.2593232acmotherconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Thermal-Sustainable Power Budgeting for Dynamic Threading

Authors Info & Claims
Published:01 June 2014Publication History

ABSTRACT

As technology scales, thermal management for multi-core architectures becomes a critical challenge due to increased power density and higher integration density. Existing power budgeting techniques focus on maximizing performance under a given power budget by optimizing the core dynamics. However, in multi-core era, a chip-wide power budget is not sufficient to ensure thermal constraints because the thermal sustainable power capacity varies with different threading strategies and core configurations. In this paper, we propose a model which estimates the thermal sustainable power capacity considering these two run-time factors. The model converts the thermal effect of threading strategies and core configurations into power capacity, which provides a context-based power budget for the power budgeting. Based on this model, we introduce a power budgeting framework aiming to optimize the performance within thermal constraints, named as TSocket. Compared to the chip-wide power budgeting solution, TSocket shows 19% of performance improvement for the PARSEC benchmarks by reducing thermal violations and providing extra power budget for performance improvement.

References

  1. H. Esmaeilzadeh, E. Blem, R. St. Amant, K. Sankaralingam, and D. Burger, "Dark silicon and the end of multicore scaling," IEEE Micro, vol. 32, no. 3, pp. 122--134, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. G. Chadha, S. Mahlke, and S. Narayanasamy, "When less is more (limo):controlled parallelism forimproved efficiency," in International Conference on Compilers, Architectures and Synthesis for Embedded Systems (CASES), pp. 141--150, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. J. Kim and M. Horowitz, "An efficient digital sliding controller for adaptive power-supply regulation," IEEE Journal of Solid-State Circuits (JSSC), vol. 37, no. 5, pp. 639--647, 2002.Google ScholarGoogle ScholarCross RefCross Ref
  4. W. Kim, M. Gupta, G.-Y. Wei, and D. Brooks, "System level analysis of fast, per-core dvfs using on-chip switching regulators," in International Symposium on High Performance Computer Architecture (HPCA), pp. 123--134, 2008.Google ScholarGoogle Scholar
  5. C. Ryan, H. Can, A. K. Coskun, and R. Sherief, "Pack and cap: Adaptive dvfs and thread packing under power caps," in International Symposium on Microarchitecture (MICRO), pp. 175--185, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. W. Huang, S. Ghosh, S. Velusamy, K. Sankaranarayanan, K. Skadron, and M. Stan, "Hotspot: a compact thermal modeling methodology for early-stage vlsi design," in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), vol. 14, no. 5, pp. 501--513, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. A. Bartolini, M. Cacciari, A. Tilli, and L. Benini, "A distributed and self-calibrating model-predictive controller for energy and thermal management of high-performance multicores," in Design, Automation Test in Europe Conference Exhibition (DATE), pp. 1--6, 2011.Google ScholarGoogle Scholar
  8. A. B., M. C., A. T., and L. B., "Adaptive Power Control with Online Model Estimation for Chip Multiprocessors," in Ieee transactions on parallel and distributed systems (TPDS), vol. 24, no. 1, pp. 170--183, 2013.Google ScholarGoogle Scholar
  9. X. Zhou, Y. Xu, Y. Du, Y. Zhang, and J. Yang, "Thermal management for 3d processors via task scheduling," in International Conference on Parallel Processing (ICPP), pp. 115--122, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. X. Wang, K. Ma, and Y. Wang, "Adaptive power control with online model estimation for chip multiprocessors," in IEEE Transactions on Parallel and Distributed Systems (TPDS), vol. 22, no. 10, pp. 1681--1696, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. "Bidirectional application power management," in http://www.amd.com/us/Documents/AMD_SFF-Whitepaper.pdf.Google ScholarGoogle Scholar
  12. C. Isci, A. Buyuktosunoglu, C.-Y. Chen, P. Bose, and M. Martonosi, "An analysis of efficient multi-core global power management policies: Maximizing performance for a given power budget," in International Symposium on Microarchitecture (MICRO), pp. 347--358, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. M. Bhadauria, V. Weaver, and S. A. McKee, "A characterizaton of the parsec benchmark suite for cmp design," Cornell University, Tech. Rep. CSL-TR-2008-1052, 2008.Google ScholarGoogle Scholar
  14. "Intel core i7-800 and i5-700 desktop processor series, datasheet." in http://www.intel.com/content/www/us/en/intelligent-systems/piketon/corei7-800-i5-700-desktop-datasheet-vol-1.html.Google ScholarGoogle Scholar
  15. I. Paul, S. Manne, M. Arora, W. L. Bircher, and S. Yalamanchili, "Cooperative boosting: needy versus greedy power management," in Proceedings of International Symposium on Computer Architecture (ISCA), pp. 285--296, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. N. Binkert, B. Beckmann, G. Black, S. K. Reinhardt, A. Saidi, A. Basu, J. Hestness, D. R. Hower, T. Krishna, S. Sardashti, R. Sen, K. Sewell, M. Shoaib, N. Vaish, M. D. Hill, and D. A. Wood, "The gem5 simulator," in SIGARCH Comput. Archit. News, vol. 39, no. 2, pp. 1--7, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. S. Li, J.-H. Ahn, R. Strong, J. Brockman, D. Tullsen, and N. Jouppi, "Mcpat: An integrated power, area, and timing modeling framework for multicore and manycore architectures," in International Symposium on Microarchitecture (MICRO), pp. 469--480, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. L. Dagum and R. Menon, "Openmp: an industry standard api for shared-memory programming," in IEEE Computational Science Engineering (CSE), vol. 5, no. 1, pp. 46--55, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library

Recommendations

Comments

Login options

Check if you have access through your login credentials or your institution to get full access on this article.

Sign in
  • Published in

    cover image ACM Other conferences
    DAC '14: Proceedings of the 51st Annual Design Automation Conference
    June 2014
    1249 pages
    ISBN:9781450327305
    DOI:10.1145/2593069

    Copyright © 2014 ACM

    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    • Published: 1 June 2014

    Permissions

    Request permissions about this article.

    Request Permissions

    Check for updates

    Qualifiers

    • research-article
    • Research
    • Refereed limited

    Acceptance Rates

    Overall Acceptance Rate1,770of5,499submissions,32%

PDF Format

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader