skip to main content
research-article

SUOR: Sectioned Undirectional Optical Ring for Chip Multiprocessor

Authors Info & Claims
Published:02 June 2014Publication History
Skip Abstract Section

Abstract

Chip multiprocessor (CMP) is becoming an attractive platform for applications seeking both high performance and high energy efficiency. In large-scale CMPs, the communication efficiency among cores is crucial for the overall system performance and energy consumption. In this article, we propose a ring-based optical network-on-chip, called SUOR, to fulfill the communication requirement of CMPs. SUOR effectively explores the distinctive properties of optical signals and photonic devices, and dynamically partitions each data channel into multiple sections. Each section can be utilized independently to boost performance as well as reduce energy consumption. We develop a set of distributed control protocols and algorithms for SUOR, but physically allocate the corresponding cluster agents close to each other to benefit from the strengths of optical interconnects at long distances as well as electrical interconnects at short distances. Simulation results show that SUOR outperforms the alternative optical networks under a wide range of traffic patterns. For example, compared with MWSR design, SUOR achieves 2.58× throughput as well as saves 64% energy consumption on average in a 256-core CMP. Compared with MWMR design, SUOR achieves 1.52× throughput and reduces 73% energy consumption on average.

References

  1. Shirish Bahirat and Sudeep Pasricha. 2009. Exploring hybrid photonic networks-on-chip for emerging chip multiprocessors. In Proceedings of the 7th IEEE/ACM International Conference on Hardware/Software Codesign and System Synthesis. ACM, New York, 129--136. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. S. Bartolini and P. Grani. 2012. A simple on-chip optical interconnection for improving performance of coherency traffic in CMPs. In Proceedings of the 15th Euromicro Conference on Digital System Design (DSD). 312--318. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Christopher Batten, Ajay Joshi, Jason Orcutt, Anatoly Khilo, Benjamin Moss, Charles Holzwarth, Milos Popovic, Hanqing Li, Henry Smith, Judy Hoyt, Franz Kartner, Rajeev Ram, Vladimir Stojanovic, and Krste Asanovic. 2008. Building many core processor-to-DRAM networks with monolithic silicon photonics. In Proceedings of the 16th IEEE Symposium on High Performance Interconnects. 21--30. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. M. Bruensteiner and G. C. Papen. 1999. Extraction of VCSEL rate-equation parameters for low-bias system simulation. IEEE J. Sel. Top. Quantum Electron. 5, 3, 487--494. DOI:http://dx.doi.org/10.1109/2944.788410Google ScholarGoogle ScholarCross RefCross Ref
  5. Mark Cianchetti, Nicolás Sherwood-Droz, and Christopher Batten. 2010. Implementing system-in-package with nanophotonic interconnect. In Proceedings of the Workshop on the Interaction between Nanophotonic Devices and Systems.Google ScholarGoogle Scholar
  6. Mark J. Cianchetti, Joseph C. Kerekes, and David H. Albonesi. 2009. Phastlane: a rapid transit optical routing network. In Proceedings of the 36th Annual International Symposium on Computer Architecture. ACM, New York, 441--450. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. William Dally and Brian Towles. 2003. Principles and Practices of Interconnection Networks. Morgan Kaufmann. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. W. J. Dally and B. Towles. 2001. Route packets, not wires: on-chip interconnection networks. In Proceedings of the Design Automation Conference. 684--689. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. I. Datta, D. Datta, and P. P. Pande. 2012. BER-based power budget evaluation for optical interconnect topologies in NoCs. In Proceedings of the IEEE International Symposium on Circuits and Systems,. 2429--2432.Google ScholarGoogle Scholar
  10. Duo Ding, Bei Yu, and D. Z. Pan. 2012. GLOW: A global router for low-power thermal-reliable interconnect synthesis using photonic wavelength multiplexing. In Proceedings of the 17th Asia and South Pacific Design Automation Conference. 621--626.Google ScholarGoogle Scholar
  11. Po Dong, Wei Qian, Shirong Liao, Hong Liang, Cheng-Chih Kung, Ning-Ning Feng, R. Shafiiha, J. Fong, Dazeng Feng, Ashok V. Krishnamoorthy, and M. Asghari. 2010. Low loss silicon waveguides for application of optical interconnects. In Proceedings of the IEEE Photonics Society Summer Topical Meeting Series. 191--192.Google ScholarGoogle Scholar
  12. Eric Dulkeith, Fengnian Xia, Laurent Schares, William M. J. Green, and Yurii A. Vlasov. 2006. Group index and group velocity dispersion in silicon-on-insulator photonic wires. Opt. Express 14, 9, 3853--3863. DOI:http://dx.doi.org/10.1364/OE.14.003853Google ScholarGoogle ScholarCross RefCross Ref
  13. Minming Geng, Lianxi Jia, Lei Zhang, Lin Yang, Ping Chen, Tong Wang, and Yuliang Liu. 2009. Four-channel reconfigurable optical add-drop multiplexer based on photonic wire waveguide. Opt. Express 17, 7, 5502--5516. DOI:http://dx.doi.org/10.1364/OE.17.005502Google ScholarGoogle ScholarCross RefCross Ref
  14. Cary Gunn. 2006. CMOS Photonics for high-speed interconnects. IEEE Micro 26, 2, 58--66. DOI:http://dx.doi.org/10.1109/MM.2006.32 Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Y. Hoskote, S. Vangal, A. Singh, N. Borkar, and S. Borkar. 2007. A 5-GHz mesh interconnect for a teraflops processor. IEEE Micro 27, 5), 51--61. DOI:http://dx.doi.org/10.1109/MM.2007.4378783 Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Chen Ji, Jingyi Wang, David Söderström, and Laura Giovane. 2009. High data rate 850 nm oxide VCSEL for 20 Gb/s application and beyond. In Proceedings of the Asia Communications and Photonics Conference and Exhibition. Optical Society of America.Google ScholarGoogle ScholarCross RefCross Ref
  17. A. Joshi, C. Batten, Yong-Jin Kwon, S. Beamer, I. Shamim, K. Asanovic, and V. Stojanovic. 2009. Siliconphotonic clos networks for global on-chip communication. In Proceedings of the 3rd ACM/IEEE International Symposium on Networks-on-Chip. 124--133. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Yu-Hsiang Kao and H. J. Chao. 2011. BLOCON: A Bufferless Photonic Clos network-on-chip architecture. In Proceedings of the 5th IEEE/ACM International Symposium on Networks on Chip. 81--88. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Nevin Kirman, Meyrem Kirman, Rajeev K. Dokania, Jose F. Martinez, Alyssa B. Apsel, Matthew A. Watkins, and David H. Albonesi. 2006. Leveraging Optical Technology in Future Bus-based Chip Multiprocessors. In Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE, 492--503. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. J. Kleinberg and E. Tardos. 2005. Algorithm Design. Addison-Wesley. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Pranay Koka, Michael O. McCracken, Herb Schwetman, Xuezhe Zheng, Ron Ho, and Ashok V. Krishnamoorthy. 2010. Silicon-photonic network architectures for scalable, power-efficient multi-chip systems. In Proceedings of the 37th Annual International Symposium on Computer Architecture. ACM, New York, 117--128. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. S. Koohi, M. Abdollahi, and S. Hessabi. 2011. All-optical wavelength-routed NoC based on a novel hierarchical topology. In Proceedings of the 5th IEEE/ACM International Symposium on Networks on Chip. 97--104. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. Ashok V. Krishnamoorthy, K. W. Goossen, W. Jan, Xuezhe Zheng, R. Ho, Guoliang Li, R. Rozier, F. Liu, D. Patil, J. Lexau, H. Schwetman, Dazeng Feng, M. Asghari, T. Pinguet, and J. E. Cunningham. 2011. Progress in low-power switched optical interconnects. IEEE J. Sel. Top. Quantum Electron. 17, 2, 357--376. DOI:http://dx.doi.org/10.1109/JSTQE.2010.2081350Google ScholarGoogle ScholarCross RefCross Ref
  24. Ashok V. Krishnamoorthy, Ron Ho, Xuezhe Zheng, H. Schwetman, Jon Lexau, P. Koka, Guo Liang Li, I. Shubin, and J. E. Cunningham. 2009. Computer Systems based on silicon photonic interconnects. Proc. IEEE 97, 7, 1337--1361. DOI:http://dx.doi.org/10.1109/JPROC.2009.2020712Google ScholarGoogle ScholarCross RefCross Ref
  25. C. Kromer, G. Sialm, C. Berger, T. Morf, M. L. Schmatz, F. Ellinger, D. Erni, G.-L. Bona, and H. Jackel. 2005. A 100-mW 4X10 Gb/s transceiver in 80-nm CMOS for high-density optical interconnects. IEEE J. Solid-State Circuits 40, 12, 2667--2679. DOI:http://dx.doi.org/10.1109/JSSC.2005.856575Google ScholarGoogle ScholarCross RefCross Ref
  26. S. Le Beux, J. Trajkovic, I. O'Connor, G. Nicolescu, G. Bois, and P. Paulin. 2011. Optical ring network-on- chip (ORNoC): Architecture and design methodology. In Design, Automation Test in Europe Conference Exhibition. 1--6.Google ScholarGoogle Scholar
  27. Zheng Li, Dan Fay, Alan Mickelson, Li Shang, Manish Vachharajani, Dejan Filipovic, Wounjhang Park, and Yihe Sun. 2009. Spectrum: a hybrid nanophotonic-electric on-chip network. In Proceedings of the 46th Annual Design Automation Conference (DAC'09). ACM, New York, 575--580. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. Weichen Liu, Jiang Xu, Xiaowen Wu, Yaoyao Ye, Xuan Wang, Wei Zhang, M. Nikdast, and Zhehui Wang. 2011. A NoC traffic suite based on real applications. In Proceedings of the IEEE Computer Society Annual Symposium on VLSI. 66--71. DOI:http://dx.doi.org/10.1109/ISVLSI.2011.49 Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. G. Masini, G. Capellini, J. Witzens, and C. Gunn. 2007. A 1550nm, 10 Gbps monolithic optical receiver in 130nm CMOS with integrated Ge waveguide photodetector. In Proceedings of the 4th IEEE International Conference on Group IV Photonics. 1--3.Google ScholarGoogle Scholar
  30. R. Morris, E. Jolley, and A. Karanth Kodi. 2013. Extending the performance and energy-efficiency of shared memory multicores with nanophotonic technology. IEEE Trans. Parallel Distrib. Syst. 99, 1. DOI:http://dx.doi.org/10.1109/TPDS.2013.26 Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. R. Morris Jr., A. Kodi, A. Louri, and R. Whaley. 2012. 3D stacked nanophotonic network-on-chip architecture with minimal reconfiguration. IEEE Trans Computers 99, 1. DOI:http://dx.doi.org/10.1109/TC.2012.183 Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. C. Nitta, M. Farrens, and V. Akella. 2011. Addressing system-level trimming issues in on-chip nanophotonic networks. In Proceedings of the IEEE 17th International Symposium on High Performance Computer Architecture. 122--131. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. Ian O'Connor. 2004. Optical solutions for system-level interconnect. In Proceedings of the International Workshop on System Level Interconnect Prediction. ACM, New York, 79--88. DOI:http://dx.doi.org/10.1145/966747.966764 Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. Jin Ouyang, Chuan Yang, Dimin Niu, Yuan Xie, and Zhiwen Liu. 2011. F2BFLY: an on-chip free-space optical network with wavelength-switching. In Proceedings of the International Conference on Supercomputing (ICS'11). ACM, New York, 348--358. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. J. D. Owens, W. J. Dally, R. Ho, D. N. Jayasimha, S. W. Keckler, and Li-Shiuan Peh. 2007. Research challenges for on-chip interconnection networks. IEEE Micro 27, 5, 96--108. DOI:http://dx.doi.org/10.1109/MM.2007.4378787 Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. Yan Pan, Yigit Demir, Nikos Hardavellas, John Kim, and Gokhan Memik. 2010a. Exploring benefits and designs of optically-connected disintegrated processor architecture. In Proceedings of the Workshop on the Interaction between Nanophotonic Devices and Systems.Google ScholarGoogle Scholar
  37. Yan Pan, J. Kim, and G. Memik. 2010b. FlexiShare: Channel sharing for an energy-efficient nanophotonic crossbar. In Proceedings of the IEEE 16th International Symposium on High Performance Computer Architecture. 1--12.Google ScholarGoogle Scholar
  38. Yan Pan, Prabhat Kumar, John Kim, Gokhan Memik, Yu Zhang, and Alok Choudhary. 2009. Firefly: illuminating future network-on-chip with nanophotonics. In Proceedings of the International Symposium on Computer Architecture. 429--440. DOI:http://dx.doi.org/10.1145/1555815.1555808 Google ScholarGoogle ScholarDigital LibraryDigital Library
  39. S. Pasricha and N. Dutt. 2008. ORB: An on-chip optical ring bus communication architecture for multiprocessor systems-on-chip. In Proceedings of the Asia and South Pacific Design Automation Conference. 789--794. DOI:http://dx.doi.org/10.1109/ASPDAC.2008.4484059 Google ScholarGoogle ScholarDigital LibraryDigital Library
  40. S. Poddar, P. Ghosal, P. Mukherjee, S. Samui, and H. Rahaman. 2012. Design of an NoC with on-chip photonic interconnects using adaptive CDMA links. In Proceedings of the IEEE International System On Chip Conference. 352--357.Google ScholarGoogle Scholar
  41. J. Psota, J. Miller, G. Kurian, H. Hoffman, N. Beckmann, J. Eastep, and A. Agarwal. 2010. ATAC: Improving performance and programmability with on-chip optical networks. In Proceedings of the IEEE International Symposium on Circuits and Systems. 3325--3328. DOI:http://dx.doi.org/10.1109/ISCAS.2010. 5537892Google ScholarGoogle ScholarCross RefCross Ref
  42. S. Pradhan, Q. Xu, B. Schmidt, and M. Lipson. 2005. Micrometre-scale silicon electro-optic modulator. Nature.Google ScholarGoogle Scholar
  43. Zhiliang Qian, Ying Fei Teh, and Chi-Ying Tsui. 2012. A flit-level speedup scheme for network-on-chips using self-reconfigurable bi-directional channels. In Proceedings of the Design, Automation and Test in Europe Conference and Exhibition. 1295--1300. Google ScholarGoogle ScholarDigital LibraryDigital Library
  44. Luca Ramini, Paolo Grani, Sandro Bartolini, and Davide Bertozzi. 2013. Contrasting wavelength-routed optical NoC topologies for power-efficient 3d-stacked multicore processors using physical-layer analysis. In Proceedings of the Design, Automation and Test in Europe Conference and Exhibition. 1589--1594. Google ScholarGoogle ScholarDigital LibraryDigital Library
  45. Assaf Shacham, Keren Bergman, and Luca P. Carloni. 2008. Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors. IEEE Trans. Comput. 57, 9, 1246--1260. DOI:http://dx.doi.org/10.1109/TC.2008.78 Google ScholarGoogle ScholarDigital LibraryDigital Library
  46. Hercules Simos, Charis Mesaritakis, Dimitris Alexandropoulos, and Dimitris Syvridis. 2009. Dynamic analysis of crosstalk performance in microring-based add/drop filters. J. Lightwave Technol. 27, 12, 2027--2034.Google ScholarGoogle ScholarCross RefCross Ref
  47. A. Syrbu, A. Mereuta, V. Iakovlev, A. Caliman, P. Royo, and E. Kapon. 2008. 10 Gbps VCSELs with high single mode output in 1310nm and 1550 nm wavelength bands. In Proceedings of the Optical Fiber communication/National Fiber Optic Engineers Conference. 1--3. DOI:http://dx.doi.org/10.1109/OFC. 2008.4528529Google ScholarGoogle Scholar
  48. D. Vantrease, R. Schreiber, M. Monchiero, M. McLaren, N. P. Jouppi, M. Fiorentino, A. Davis, N. Binkert, R. G. Beausoleil, and J. H. Ahn. 2008. Corona: System implications of emerging nanophotonic technology. In Proceedings of the 35th International Symposium on Computer Architecture. 153--164. DOI:http://dx.doi.org/10.1109/ISCA.2008.35 Google ScholarGoogle ScholarDigital LibraryDigital Library
  49. Fengnian Xia, Lidija Sekaric, and Yurii Vlasov. 2007. Ultracompact optical buffers on a silicon chip. Nat Photonics 1, 1, 65--71.Google ScholarGoogle ScholarCross RefCross Ref
  50. Yi Xu, Yu Du, Youtao Zhang, and Jun Yang. 2011. A composite and scalable cache coherence protocol for large scale CMPs. In Proceedings of the International Conference on Supercomputing (ICS'11). ACM, New York, 285--294. Google ScholarGoogle ScholarDigital LibraryDigital Library
  51. Yi Xu, Jun Yang, and Rami Melhem. 2012. Channel borrowing: An energy-efficient nanophotonic crossbar architecture with light-weight arbitration. In Proceedings of the 26th ACM International Conference on Supercomputing (ICS'12). ACM, New York, 133--142. Google ScholarGoogle ScholarDigital LibraryDigital Library
  52. Yaoyao Ye, Lian Duan, Jiang Xu, Jin Ouyang, Mo Kwai Hung, and Yuan Xie. 2009. 3D optical networks-on-chip (NoC) for multiprocessor systems-on-chip (MPSoC). In Proceedings of the IEEE International Conference on 3D System Integration. 1--6. DOI:http://dx.doi.org/10.1109/3DIC.2009.5306588Google ScholarGoogle ScholarCross RefCross Ref
  53. Yaoyao Ye, Jiang Xu, Xiaowen Wu, Wei Zhang, Weichen Liu, and Mahdi Nikdast. 2012. A torus-based hierarchical optical-electronic network-on-chip for multiprocessor system-on-chip. J. Emerg. Technol. Comput. Syst. 8, 1, 5:1--5:26. DOI:http://dx.doi.org/10.1145/2093145.2093150 Google ScholarGoogle ScholarDigital LibraryDigital Library
  54. Yaoyao Ye, Jiang Xu, Xiaowen Wu, Wei Zhang, Xuan Wang, M. Nikdast, Zhehui Wang, and Weichen Liu. 2011. Modeling and analysis of thermal effects in optical networks-on-chip. In Proceedings of the IEEE Computer Society Annual Symposium on VLSI. 254--259. DOI:http://dx.doi.org/10.1109/ISVLSI.2011.38 Google ScholarGoogle ScholarDigital LibraryDigital Library
  55. Yan Zheng, P. Lisherness, Ming Gao, J. Bovington, Kwang-Ting Cheng, Hong Wang, and Shiyuan Yang. 2012. Power-efficient calibration and reconfiguration for optical network-on-chip. IEEE/OSA J. Opt. Commun. Networking 4, 12, 955--966. DOI:http://dx.doi.org/10.1364/JOCN.4.000955Google ScholarGoogle ScholarCross RefCross Ref

Index Terms

  1. SUOR: Sectioned Undirectional Optical Ring for Chip Multiprocessor

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in

    Full Access

    • Published in

      cover image ACM Journal on Emerging Technologies in Computing Systems
      ACM Journal on Emerging Technologies in Computing Systems  Volume 10, Issue 4
      May 2014
      158 pages
      ISSN:1550-4832
      EISSN:1550-4840
      DOI:10.1145/2628070
      Issue’s Table of Contents

      Copyright © 2014 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 2 June 2014
      • Accepted: 1 September 2013
      • Revised: 1 April 2013
      • Received: 1 December 2012
      Published in jetc Volume 10, Issue 4

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article
      • Research
      • Refereed

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader