skip to main content
10.1145/2619955.2619963acmotherconferencesArticle/Chapter ViewAbstractPublication PagesnanocomConference Proceedingsconference-collections
tutorial

Initial MAC Exploration for Graphene-enabled Wireless Networks-on-Chip

Published: 06 May 2014 Publication History

Abstract

In the upcoming many-core era, chip multiprocessor architectures will be composed of hundreds or even thousands of processor cores, which interact among them through an on-chip communication platform for synchronization and data coherency/consistency purposes. As the traffic generated within the chip becomes more multicast-intensive, it is necessary to conceive novel communication platforms that go beyond conventional schemes and guarantee multicast support with high throughput, low latency, and low power. Nanotechnology provides an opportunity within this context by virtue of terahertz graphene antennas, which could allow the integration of one antenna per core in a Graphene-enabled Wireless Network-on-Chip (GWNoC). However, it is essential to design an appropriate MAC protocol in order to fully benefit from this novel approach. To provide a first contribution in this direction, in this paper we design two baseline MAC protocols based on the well-known ALOHA and carrier sensing techniques. Their functionalities have been properly conceived by taking into account characteristics and requirements of future chip multiprocessors systems. Moreover, their performances have been evaluated by means of computer simulations under different chip configurations. Obtained results demonstrate the pros and cons of these simple contention-based MAC protocols and pave the way for the future exploration of the MAC design space.

References

[1]
J. Hennessy and D. Patterson, Computer architecture: a quantitative approach. 2012.
[2]
W. Dally and B. Towles, "Route packets, not wires: on-chip interconnection networks," in Proceedings of the 38th IEEE Design Automation Conference, pp. 684--689, Acm, 2001.
[3]
L. Benini and G. De Micheli, "Networks on chips: a new SoC paradigm," Computer, vol. 35, no. 1, pp. 70--78, 2002.
[4]
J. Owens, W. Dally, R. Ho, D. Jayasimha, S. Keckler, and L. Peh, "Research challenges for on-chip interconnection networks," Micro, IEEE, vol. 27, no. 5, pp. 96--108, 2007.
[5]
N. E. Jerger, L.-S. Peh, and M. Lipasti, "Virtual Circuit Tree Multicasting: A Case for On-Chip Hardware Multicast Support," 2008 International Symposium on Computer Architecture, pp. 229--240, June 2008.
[6]
S. Abadal, E. Alarcón, M. C. Lemme, M. Nemirovsky, and A. Cabellos-Aparicio, "Graphene-enabled Wireless Communication for Massive Multicore Architectures," IEEE Communications Magazine, vol. 51, no. 11, pp. 137--143, 2013.
[7]
S. Deb, A. Ganguly, P. P. Pande, B. Belzer, and D. Heo, "Wireless NoC as Interconnection Backbone for Multicore Chips: Promises and Challenges," IEEE Journal on Emerging and Selected Topics in Circuits and Systems (JETCAS), vol. 2, no. 2, pp. 228--239, 2012.
[8]
J. M. Jornet and I. F. Akyildiz, "Graphene-based nano-antennas for electromagnetic nanocommunications in the terahertz band," in Proc. of 4th European Conference on Antennas and Propagation (EUCAP, ed.), (Barcelona), 2010.
[9]
J. M. Jornet and I. F. Akyildiz, "Channel Modeling and Capacity Analysis for Electromagnetic Wireless Nanonetworks in the Terahertz Band," IEEE Transactions on Wireless Communications, vol. 10, no. 10, pp. 3211--3221, 2011.
[10]
G. Piro, "Nano-sim - The open source framework for simulating EM-based WNSNs." {OnLine} Available: http://telematics.poliba.it/nano-sim.
[11]
S. Rodrigo, J. Flich, J. Duato, and M. Hummel, "Efficient unicast and multicast support for CMPs," 2008 41st IEEE/ACM International Symposium on Microarchitecture, pp. 364--375, Nov. 2008.
[12]
F. A. Samman, T. Hollstein, and M. Glesner, "Multicast parallel pipeline router architecture for network-on-chip," in Proceedings of the Conference on Design, Automation and Test in Europe (DATE), pp. 1396--1401, ACM Press, 2008.
[13]
L. Wang, Y. Jin, H. Kim, and E. Kim, "Recursive partitioning multicast: A bandwidth-efficient routing for Networks-on-Chip," in International Symposium on Networks-on-Chip (NoCs), pp. 64--73, 2009.
[14]
E. Tavakoli, M. Tabandeh, S. Kaffash, and B. Raahemi, "Multi-hop communications on wireless network-on-chip using optimized phased-array antennas," Computers & Electrical Engineering, vol. 39, pp. 2068--2085, July 2013.
[15]
S.-B. Lee, L. Zhang, J. Cong, S.-W. Tam, I. Pefkianakis, S. Lu, M. F. Chang, C. Guo, G. Reinman, C. Peng, and M. Naik, "A scalable micro wireless interconnect structure for CMPs," Proceedings of the 15th annual international conference on Mobile computing and networking - MobiCom '09, p. 217, 2009.
[16]
D. W. Matolak, A. Kodi, S. Kaya, D. DiTomaso, S. Laha, and W. Rayess, "Wireless Networks-on-Chip: Architecture, Wireless Channel, and Devices," Wireless Nanoscale Communications, no. 5, pp. 58--65, 2012.
[17]
A. Ganguly, S. Deb, and B. Belzer, "Scalable hybrid wireless network-on-chip architectures for multicore systems," Computers, IEEE Transactions on, vol. 60, no. 10, pp. 1485--1502, 2011.
[18]
A. Vidapalapati, V. Vijayakumaran, A. Ganguly, and A. Kwasinski, "NoC architectures with adaptive Code Division Multiple Access based wireless links," 2012 IEEE International Symposium on Circuits and Systems, pp. 636--639, May 2012.
[19]
S. Deb, A. Ganguly, K. Chang, P. Pande, B. Beizer, and D. Heo, "Enhancing performance of network-on-chip architectures with millimeter-wave wireless interconnects," Application-specific Systems Architectures and Processors (ASAP), 21st IEEE International Conference on, pp. 73--0, 2010.
[20]
D. Zhao, Y. Wang, and S. Member, "SD-MAC: Design and Synthesis of a MAC Protocol for Wireless Network-on-Chip," Computers, IEEE Transactions on, vol. 57, no. 9, pp. 1230--1245, 2008.
[21]
A. Ganguly, K. Chang, S. Deb, P. P. Pande, B. Belzer, and C. Teuscher, "Scalable Hybrid Wireless Network-on-Chip Architectures for Multi-Core Systems," IEEE Transactions on Computers, vol. 60, no. 10, pp. 1485--1502, 2010.
[22]
I. Llatser, C. Kremers, D. Chigrin, J. M. Jornet, M. C. Lemme, A. Cabellos-Aparicio, and E. Alarcón, "Radiation Characteristics of Tunable Graphennas in the Terahertz Band," Radioengineering Journal, vol. 21, no. 4, 2012.
[23]
M. Tamagnone, J. S. GoÌĄmez-DiÌĄaz, J. R. Mosig, and J. Perruisseau-Carrier, "Analysis and design of terahertz antennas based on plasmonic resonant graphene sheets," Journal of Applied Physics, vol. 112, p. 114915, 2012.
[24]
J. Jornet and I. Akyildiz, "Channel modeling and capacity analysis for electromagnetic wireless nanonetworks in the terahertz band," Wireless Communications, IEEE Transactions on, vol. 10, no. 10, pp. 3211--3221, 2011.
[25]
E. Gul, B. Atakan, and O. Akan, "Nanons: a nanoscale network simulator framework for molecular communications," Nano Communication Networks, vol. 1, pp. 138--156, Oct. 2011.
[26]
I. Llatser, I. Pascual, N. Garralda, A. Cabellos-Aparicio, and E. Alarcon, "N3sim: a simulation framework for diffusion-based molecular communication," IEEE Technical Committee on Simulation, vol. 8, pp. 3--4, 2011.
[27]
L. Felicetti, M. Femminella, and G. Reali, "A simulation tool for nanoscale biological networks," Nano Communication Networks, Oct. 2011.
[28]
G. Piro, L. A. Grieco, G. Boggia, and P. Camarda, "Nano-sim: simulating electromagnetic-based nanonetworks in the network simulator 3," in in Proc. of Workshop on NS-3 (held in conjunction with SIMUTools 2013), (Cannes, France), Mar. 2013.
[29]
G. Piro, L. A. Grieco, G. Boggia, and P. Camarda, "Simulating wireless nano sensor networks in the ns-3 platform," in in Proc. of Workshop on Performance Analysis and Enhancement of Wireless Networks, PAEWN, (Barcelona, Spain), Mar. 2013.
[30]
IEEE, "P1906.1 - recommended practice for nanoscale and molecular communication framework, http://standards.ieee.org/develop/project/1906.1.html {accessed: 9/10/2014}."
[31]
H. C. Freitas and P. O. A. Navaux, "Evaluating On-Chip Interconnection Architectures for Parallel Processing," in The 11th IEEE International Conference on Computational Science and Engineering - Workshops, pp. 188--193, 2008.
[32]
S. Ma, N. Jerger, and Z. Wang, "Supporting efficient collective communication in NoCs," in Proceedings of the 2012 IEEE 18th International Symposium on High-Performance Computer Architecture, pp. 1--12, 2012.
[33]
D. Wentzlaff, P. Griffin, H. Hoffmann, L. Bao, B. Edwards, C. Ramey, M. Mattina, C.-C. Miao, J. F. B. III, and A. Agarwal, "On-chip interconnection architecture of the tile processor," IEEE Micro, vol. 27, no. 5, pp. 15--31, 2007.
[34]
W. Huang, K. Rajamani, M. Stan, and K. Skadron, "Scaling with design constraints: Predicting the future of big chips," IEEE Micro, pp. 16--29, 2011.
[35]
J. Chan, G. Hendry, A. Biberman, K. Bergman, and L. P. Carloni, "PhoenixSim: A Simulator for Physical-Layer Analysis of Chip-Scale Photonic Interconnection Networks," in Proceedings of the Conference on Design, Automation and Test in Europe (DATE), pp. 691--696, 2010.

Cited By

View all
  • (2022)An Asymmetric, One-To-Many Traffic-Aware mm-Wave Wireless Interconnection Architecture for Multichip SystemsIEEE Transactions on Emerging Topics in Computing10.1109/TETC.2020.302061510:1(324-338)Online publication date: 1-Jan-2022
  • (2022)A systematic analysis of power saving techniques for wireless network-on-chip architecturesJournal of Systems Architecture10.1016/j.sysarc.2022.102485126(102485)Online publication date: May-2022
  • (2021)A Centralized Token-based Medium Access Control Mechanism for Wireless Network-on-Chip2021 International Conference on Artificial Intelligence and Computer Science Technology (ICAICST)10.1109/ICAICST53116.2021.9497802(102-107)Online publication date: 29-Jun-2021
  • Show More Cited By

Index Terms

  1. Initial MAC Exploration for Graphene-enabled Wireless Networks-on-Chip

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Other conferences
      NANOCOM' 14: Proceedings of ACM The First Annual International Conference on Nanoscale Computing and Communication
      May 2014
      194 pages
      ISBN:9781450329798
      DOI:10.1145/2619955
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 06 May 2014

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. MAC protocol
      2. Nanonetworks
      3. Performance Evaluation
      4. WNoC

      Qualifiers

      • Tutorial
      • Research
      • Refereed limited

      Conference

      NANOCOM' 14

      Acceptance Rates

      NANOCOM' 14 Paper Acceptance Rate 25 of 37 submissions, 68%;
      Overall Acceptance Rate 97 of 135 submissions, 72%

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)0
      • Downloads (Last 6 weeks)0
      Reflects downloads up to 01 Mar 2025

      Other Metrics

      Citations

      Cited By

      View all
      • (2022)An Asymmetric, One-To-Many Traffic-Aware mm-Wave Wireless Interconnection Architecture for Multichip SystemsIEEE Transactions on Emerging Topics in Computing10.1109/TETC.2020.302061510:1(324-338)Online publication date: 1-Jan-2022
      • (2022)A systematic analysis of power saving techniques for wireless network-on-chip architecturesJournal of Systems Architecture10.1016/j.sysarc.2022.102485126(102485)Online publication date: May-2022
      • (2021)A Centralized Token-based Medium Access Control Mechanism for Wireless Network-on-Chip2021 International Conference on Artificial Intelligence and Computer Science Technology (ICAICST)10.1109/ICAICST53116.2021.9497802(102-107)Online publication date: 29-Jun-2021
      • (2021)Architecting a priority-based dynamic media access control mechanism in Wireless Network-on-ChipMicroelectronics Journal10.1016/j.mejo.2021.105218(105218)Online publication date: Aug-2021
      • (2020)Scalable and energy efficient wireless inter chip interconnection fabrics using THz-band antennasJournal of Parallel and Distributed Computing10.1016/j.jpdc.2020.02.002Online publication date: Feb-2020
      • (2019)Environmental Monitoring Intelligent System Using Wireless Nanosensor NetworksWeb, Artificial Intelligence and Network Applications10.1007/978-3-030-15035-8_96(975-982)Online publication date: 15-Mar-2019
      • (2017)Energy-efficiency in interconnection fabrics for inter and intra-chip communication using Graphene-based THz-band antennas2017 Eighth International Green and Sustainable Computing Conference (IGSC)10.1109/IGCC.2017.8323603(1-6)Online publication date: Oct-2017
      • (2015)Reconfigurable Wireless Network-on-Chip with a Dynamic Medium Access MechanismProceedings of the 9th International Symposium on Networks-on-Chip10.1145/2786572.2788711(1-8)Online publication date: 28-Sep-2015
      • (2015)On the design of an energy-harvesting protocol stack for Body Area Nano-NETworksNano Communication Networks10.1016/j.nancom.2014.10.0016:2(74-84)Online publication date: Jun-2015

      View Options

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Figures

      Tables

      Media

      Share

      Share

      Share this Publication link

      Share on social media