skip to main content
research-article

Ultra-low-leakage chip multiprocessor design with hybrid FinFET logic styles

Published:06 October 2014Publication History
Skip Abstract Section

Abstract

FinFET has begun replacing CMOS at the 22nm technology node because of its enhanced ability to mitigate short-channel effects. Although leakage power of FinFET logic gates is lower than their CMOS counterparts, it still contributes to a large part of total power consumption. In this article, we show how ultra-low-leakage FinFET chip multiprocessors (CMPs) can be designed using a hybrid logic style. This hybrid style exploits the ultra-low-leakage feature of asymmetric-workfunction shorted-gate (ASG) FinFETs and the high-performance feature of shorted-gate (SG) FinFETs. We explore the impact of the hybrid style at both the module and CMP levels. To do this, we have developed FinFET logic libraries targeted at SG and ASG logic gates, suitably characterized for various parameters of interest. We have also modified existing tools and created a framework to evaluate the hybrid designs of SRAMs, caches, and CMPs. Using the design with SG FinFETs as the baseline for comparison, our experimental results show that the hybrid style can reduce leakage power of execution units to as low as 10.6% of the baseline without hurting performance, that of SRAMs to between 21.5% and 4.8% of the baseline with 0%-8.3% delay overhead, and that of CMPs to 10.0% of the baseline with negligible performance degradation.

References

  1. ARM Ltd. and TSMC Ltd. 2012. ARM and TSMC collaborate to optimize next-generation 64-bit ARM processors for FinFET process technology. http://www.tsmc.com.Google ScholarGoogle Scholar
  2. A. N. Bhoj and N. K. Jha. 2011. Design of ultra-low-leakage logic gates and flip-flops in high-performance FinFET technology. In Proceedings of the IEEE International Symposium on Quality Electronic Design. 1--8.Google ScholarGoogle Scholar
  3. A. N. Bhoj and N. K. Jha. 2013. Design of logic gates and flip-flops in high-performance FinFET technology. IEEE Trans. VLSI Syst. 21, 11. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. A. N. Bhoj and N. K. Jha. 2014. Parasitics-aware design of symmetric and asymmetric gate-workfunction FinFET SRAMs. IEEE Trans. VLSI Syst. 22, 3, 548--561. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. C. Bienia. 2011. Benchmarking modern multiprocessors. Ph.D. Dissertation, Princeton University. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. N. Binkert, B. Beckmann, G. Black, S. K. Reinhardt, A. Saidi, A. Basu, J. Hestness, D. R. Hower, T. Krishna, S. Sardashti, R. Sen, K. Sewell, M. Shoaib, N. Vaish, M. D. Hill, and D. A. Wood. 2011. The gem5 simulator. SIGARCH Computer Architec. News 39, 2, 1--7. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. A. Carlson, Z. Guo, S. Balasubramanian, L. T. Pang, T.-J. K. Liu, and B. Nikolic. 2006. FinFET SRAM with enhanced read/write margins. In Proceedings of the IEEE International SOI Conference. 105--106.Google ScholarGoogle Scholar
  8. M. Gebhart, J. Hestness, E. Fatehi, P. Gratz, and S. W. Keckler. 2009. Running PARSEC 2.1 on M5. Tech. Rep., Department of Computer Science, University of Texas at Austin.Google ScholarGoogle Scholar
  9. GLOBALFOUNDRIES Inc. 2012. GLOBALFOUNDRIES unveils FinFET transistor architecture optimized for next-generation mobile devices. http://www.globalfoundries.com/newsroom/2012/20120920.aspx.Google ScholarGoogle Scholar
  10. D. Hisamoto, W.-C. Lee, J. Kedzierski, H. Takeuchi, K. Asano, C. Kuo, E. Anderson, T.-J. King, J. Bokor, and C. Hu. 2000. FinFET: A self-aligned double-gate MOSFET scalable to 20 nm. IEEE Trans. Electron Devices 47, 12, 2320--2325.Google ScholarGoogle ScholarCross RefCross Ref
  11. HP Laboratories. 2008. CACTI 5.1. http://www.hpl.hp.com/techreports/2008/HPL-2008-20.html.Google ScholarGoogle Scholar
  12. Intel Corp. 2011. Intel’s Revolutionary 22 nm transistor technology. http://download.intel.com/newsroom/kits/22nm/pdfs/22nm-Details_Presentation.pdf.Google ScholarGoogle Scholar
  13. J. Kedzierski, D. M. Fried, E. J. Nowak, et al. 2001. High-performance symmetric-gate and CMOS-compatible Vt asymmetric-gate FinFET devices. In Proceedings of the International Electron Devices Meeting. 19.5.1--19.5.4.Google ScholarGoogle Scholar
  14. P. M. Kogge and H. S. Stone. 1973. A parallel algorithm for the efficient solution of a general class of recurrence equations. IEEE Trans. Comput. C-22, 8, 786--793. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. C.-Y. Lee and N. K. Jha. 2009. FinFET-based dynamic power management of on-chip interconnection networks through adaptive back-gate biasing. In Proceedings of the IEEE International Conference on Computer Design. 350--357. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. C.-Y. Lee and N. K. Jha. 2014. FinCANON: A PVT-aware integrated delay and power modeling framework for FinFET-based caches and on-chip networks. IEEE Trans. VLSI Systems 22, 5.Google ScholarGoogle Scholar
  17. A. Muttreja, N. Agarwal, and N. K. Jha. 2007. CMOS logic design with independent-gate FinFETs. In Proceedings of the IEEE International Conference on Computer Design. 560--567.Google ScholarGoogle Scholar
  18. E. J. Nowak, I. Aller, T. Ludwig, K. Kim, R. V. Joshi, C.-T. Chuang, K. Bernstein, and R. Puri. 2004. Turning silicon on its edge {double gate CMOS/FinFET technology}. IEEE Circuits Devices Mag. 4, 1, 20--31.Google ScholarGoogle ScholarCross RefCross Ref
  19. Oracle Corp. 2005. OpenSPARC T1. http://www.oracle.com/technetwork/systems/opensparc/opensparc-t1-page-1444609.html.Google ScholarGoogle Scholar
  20. J. M. Rabaey, A. Chandrakasan, and B. Nikolic. 2004a. Digital Integrated Circuits: A Design Perspective (2nd Ed.). Prentice Hall, Upper Saddle River, NJ, Chapter 11.Google ScholarGoogle Scholar
  21. J. M. Rabaey, A. Chandrakasan, and B. Nikolic. 2004b. Digital Integrated Circuits: A Design Perspective (2nd Ed.). Prentice Hall, Upper Saddle River, NJ, Chapter 6.Google ScholarGoogle Scholar
  22. Synopsys Inc. 2012. Sentaurus TCAD tool suite, version G-2012.06. http://www.synopsys.com.Google ScholarGoogle Scholar
  23. Synopsys Inc. 2013. Design compiler graphical, version H-2013.03-SP3. http://www.synopsys.com.Google ScholarGoogle Scholar
  24. A. Tang, Y. Yang, C.-Y. Lee, and N. K. Jha. 2014. McPAT-PVT: Delay and power modeling framework for FinFET processor architectures under PVT variations. IEEE Trans. VLSI Syst. (To appear).Google ScholarGoogle Scholar

Index Terms

  1. Ultra-low-leakage chip multiprocessor design with hybrid FinFET logic styles

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in

        Full Access

        • Published in

          cover image ACM Journal on Emerging Technologies in Computing Systems
          ACM Journal on Emerging Technologies in Computing Systems  Volume 11, Issue 1
          September 2014
          142 pages
          ISSN:1550-4832
          EISSN:1550-4840
          DOI:10.1145/2676581
          Issue’s Table of Contents

          Copyright © 2014 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 6 October 2014
          • Accepted: 1 April 2014
          • Revised: 1 March 2014
          • Received: 1 November 2013
          Published in jetc Volume 11, Issue 1

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article
          • Research
          • Refereed

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader