skip to main content
research-article

Understanding SRAM Stability via Bifurcation Analysis: Analytical Models and Scaling Trends

Published:29 August 2014Publication History
Skip Abstract Section

Abstract

In the past decades, aggressive scaling of transistor feature size has been a primary force driving higher Static Random Access Memory (SRAM) integration density. Due to technology scaling, nanometer SRAM designs become increasingly vulnerable to stability challenges. The traditional way of analyzing stability is through the use of Static Noise Margins (SNMs). SNMs are not capable of capturing the key nonlinear dynamics associated with memory operations, leading to imprecise characterization of stability. This work rigorously develops dynamic stability concepts and, more importantly, captures them in physically based analytical models. By leveraging nonlinear stability theory, we develop analytical models that characterize the minimum required amplitude and duration of injected current noises that can flip the SRAM state. These models, which are parameterized in key design, technology, and operating condition parameters, provide important design insights and offer a basis for predicting scaling trends of SRAM dynamic stability.

References

  1. G. Angelov and M. Hristov. 2004. SPICE modeling of mosfets in deep submicron. In Proceedings of the 27th IEEE International Spring Seminar on Electronics Technology: Meeting the Challenges of Electronics Technology Progress. Vol. 2, 257--262.Google ScholarGoogle Scholar
  2. F. Arnaud, F. Boeuf, F. Salvetti, D. Enoble, F. Acquant, C. Regnier, et al. 2003. A functional 0.69 μm2 embedded 6t-sram bit cell for 65 nm cmos platform. In Proceedings of the IEEE Symposium on VLSI Technology Digest of Technical Papers. 65--66.Google ScholarGoogle Scholar
  3. K. Cao. 2012. http://ptm.asu.edu/latest.html.Google ScholarGoogle Scholar
  4. L. Chang, D. M. Fried, J. Hergenrother, J. W. Sleight, R. H. Dennard, R. K. Montoye, et al. 2005. Stable sram cell design for the 32 nm node and beyond. In Proceedings of the IEEE Symposium on VLSI Technology Digest of Technical Papers. 128--129.Google ScholarGoogle ScholarCross RefCross Ref
  5. Y. Cheng, K. Imai, M. Jeng, Z. Liu, K. Chen, and C. Hu. 1997. Modelling temperature effects of quarter micrometre mosfets in bsim3v3 for circuit simulation. Semiconductor Sci. Technol. 12, 11.Google ScholarGoogle Scholar
  6. W. Dong, P. Li, and G. M. Huang. 2008. SRAM dynamic stability: Theory, variability and analysis. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD'08). 378--385. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. D. Edenfeld, A. B. Kahng, M. Rodgers, and Y. Zorian. 2004. 2003 technology roadmap for semiconductors. Comput. 37, 1, 47--56. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. R. Garg, N. Jayakumar, S. P. Khatri, and G. Choi. 2006. A design approach for radiation-hard digital electronics. In Proceedings of the 43rd Annual ACM Design Automation Conference. 773--778. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. R. Garg, P. Li, and S. P. Khatri. 2008. Modeling dynamic stability of srams in the presence of single event upsets (seus). In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS'08). 1788--1791.Google ScholarGoogle Scholar
  10. B. S. Haran, A. Kumar, L. Adam, J. Chang, V. Basker, S. Kanakasabapathy, et al. 2008. 22 nm technology compatible fully functional 0.1 μm 2 6t-sram cell. In Proceedings of the IEEE International Electronic Devices Meeting (IEDM'08). 1--4.Google ScholarGoogle Scholar
  11. Y. Ho. 2008. Dynamic stability margin analysis on sram. http://repository.tamu.edu/bitstream/handle/1969.1/ETD-TAMU-2722/HO-THESIS.pdf?sequence=1.Google ScholarGoogle Scholar
  12. C. Hu. 2010. Modern Semiconductor Devices for Integrated Circuits. Vol. 1. Prentice Hall, Upper Saddle River, NJ.Google ScholarGoogle Scholar
  13. G. M. Huang, W. Dong, Y. Ho, and P. Li. 2007. Tracing sram separatrix for dynamic noise margin analysis under device mismatch. In Proceedings of the IEEE International Behavioral Modeling and Simulation Workshop (BMAS'07). 6--10.Google ScholarGoogle Scholar
  14. S. M. Jahinuzzaman, M. Sharifkhani, and M. Sachdev. 2009. An analytical model for soft error critical charge of nanometric srams. IEEE Trans. VLSI. Syst. 17, 9, 1187--1195. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. H. K. Khalil. 2002. Nonlinear Systems. Vol, 3, Prentice Hall, Upper Saddle River, NJ.Google ScholarGoogle Scholar
  16. F. J. List. 1986. The static noise margin of sram cells. In Proceedings of the 12th IEEE European Solid-State Circuits Conference (ESSCIRC'86). 16--18.Google ScholarGoogle ScholarCross RefCross Ref
  17. W. Liu and C. Hu. 1998. BSIM3v3 mosfet model. Int. J. High Speed Electron. Syst. 9, 3, 671--701.Google ScholarGoogle ScholarCross RefCross Ref
  18. W. Liu and C. Hu. 2011. BSIM4 and MOSFET Modeling for IC Simulation. World Scientific, Singapore.Google ScholarGoogle Scholar
  19. J. Lohstroh, E. Seevinck, and J. D. Groot. 1983. Worst-case static noise margin criteria for logic circuits and their mathematical equivalence. IEEE J. Solid-State Circ. 18, 6, 803--807.Google ScholarGoogle ScholarCross RefCross Ref
  20. L. W. Massengill, M. L. Alles, and S. E. Kerns. 1993. SEU error rates in advanced digital cmos. In Proceedings of the 2nd IEEE European Conference on Radiation and its Effects on Components and Systems (RADECS'93). 546--553.Google ScholarGoogle Scholar
  21. T. C. May and M. H. Woods. 1979. Alpha-particle-induced soft errors in dynamic memories. IEEE Trans. Electron. Devices, 26, 1, 2--9.Google ScholarGoogle ScholarCross RefCross Ref
  22. T. H. Morshed, D. D. Lu, W. M. Yang, M. V. Dunga, X. Xi, et al. 2010. BSIM4v4.7 mosfet model. http://www-device.eecs.berkeley.edu/bsim/Files/BSIM4/BSIM470/BSIM470_Manual.pdf.Google ScholarGoogle Scholar
  23. S. Nassif. 2006. C-&infty; shichman hodges model. http://ece.tamu.edu/∼huang/files/materials606/sani.pdf.Google ScholarGoogle Scholar
  24. J. C. Pickel and J. T. Blandford. 1981. CMOS ram cosmic-ray-induced-error-rate analysis. IEEE Trans. Nuclear Sci. 28, 6, 3962--3967.Google ScholarGoogle ScholarCross RefCross Ref
  25. A. Ramesh, S.-Y. Park, and P. R. Berger. 2011. 90nm 32 × 32 bit tunneling sram memory array with 0.5ns write access time, 1ns read access time and 0.5v operation. IEEE Trans. Circ. Syst. 58, 10, 2432--2445.Google ScholarGoogle Scholar
  26. E. Seevinck. 1980. Deriving stability criteria for nonlinear circuits with application to worst-case noise margin of i2l. IEEE Electron. Lett. 16, 23, 867--869.Google ScholarGoogle ScholarCross RefCross Ref
  27. E. Seevinck, F. J. List, and J. Lohstroh. 1987. Static-noise margin analysis of mos sram cells. IEEE J. Solid-State Circ. 22, 5, 748--754.Google ScholarGoogle ScholarCross RefCross Ref
  28. H. Shichman and D. A. Hodges. 1968. Modeling and simulation of insulated-gate field-effect transistor switching circuits. IEEE J. Solid-State Circ. 3, 3, 285--289.Google ScholarGoogle ScholarCross RefCross Ref
  29. Y. Song, H. Yu, S. M. Pudukotai-Dinakarrao, and G. Shi. 2013. SRAM dynamic stability verification by reachability analysis with consideration of threshold voltage variation. In Proceedings of the ACM International Symposium on Physical Design. 43--49. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. S. O. Toh, Z. Guo, and B. Nikolic. 2010. Dynamic sram stability characterization in 45nm cmos. In Proceedings of the IEEE Symposium on VLSI Circuits (VLSIC'10). 35--36.Google ScholarGoogle Scholar
  31. K. Utsumi, E. M. Morifuji, K. S. Aota, T. Yoshida, K. Honda, et al. 2005. A 65nm low power cmos platform with 0.495μm2 sram for digital processing and mobile applications. In Proceedings of the IEEE Symposium on VLSI Technology Digest of Technical Papers. 216--217.Google ScholarGoogle Scholar
  32. Y. Wang, U. Bhattacharya, F. Hamzaoglu, P. Y. Ng, L. Wei, et al. 2009. A 4.0 ghz 291mb voltage-scalable sram design in 32nm high-κ metal-gate cmos with integrated power management. In Proceedings of the IEEE International Solid-State Circuits Conference-Digest of Technical Papers (ISSCC'09). 456--457.Google ScholarGoogle Scholar
  33. J. Wang, S. Nalam, and B. H. Calhoun. 2008. Analyzing static and dynamic write margin for nanometer srams. In Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED'08). 129--134. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. B. Zhang, A. Arapostathis, S. Nassif, and M. Orshansky. 2006. Analytical modeling of sram dynamic stability. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD'06). 315--322. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. Y. Zhang, P. Li, and G. M. Huang. 2010. Separatrices in high-dimensional state space: System-theoretical tangent computation and application to sram dynamic stability analysis. In Proceedings of the 47th ACM/IEEE Design Automation Conference (DAC'10). 567--572. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Understanding SRAM Stability via Bifurcation Analysis: Analytical Models and Scaling Trends

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in

    Full Access

    • Published in

      cover image ACM Transactions on Design Automation of Electronic Systems
      ACM Transactions on Design Automation of Electronic Systems  Volume 19, Issue 4
      August 2014
      246 pages
      ISSN:1084-4309
      EISSN:1557-7309
      DOI:10.1145/2663459
      • Editor:
      • Naehyuck Chang
      Issue’s Table of Contents

      Copyright © 2014 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 29 August 2014
      • Revised: 1 May 2014
      • Accepted: 1 May 2014
      • Received: 1 October 2013
      Published in todaes Volume 19, Issue 4

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article
      • Research
      • Refereed

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader