skip to main content
10.1145/2656075.2661645acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
research-article

Dark silicon as a challenge for hardware/software co-design: invited special session paper

Published:12 October 2014Publication History

ABSTRACT

Dark Silicon refers to the observation that in future technology nodes, it may only be possible to power-on a fraction of on-chip resources (processing cores, hardware accelerators, cache blocks and so on) in order to stay within the power budget and safe thermal limits, while the other resources will have to be kept powered-off or "dark". In other words, chips will have an abundance of transistors, i.e., more than the number that can be simultaneously powered-on. Heterogeneous computing has been proposed as one way to effectively leverage this abundance of transistors in order to increase performance, energy efficiency and even reliability within power and thermal constraints. However, several critical challenges remain to be addressed including design, automated synthesis, design space exploration and run-time management of heterogeneous dark silicon processors. The hardware/software co-design and synthesis community has potentially much to contribute in solving these new challenges introduced by dark silicon and, in particular, heterogeneous computing. In this paper, we identify and highlight some of these critical challenges, and outline some of our early research efforts in addressing them.

References

  1. Jason Allred, Sanghamitra Roy, and Koushik Chakraborty. Designing for dark silicon: a methodological perspective on energy efficient systems. In Proceedings of the 2012 ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Haseeb Bokhari, Haris Javaid, Muhammad Shafique, Jörg Henkel, and Sri Parameswaran. darknoc: Designing energy-efficient network-on-chip with multi-vt cells for dark silicon. In Proceedings of the The 51st Annual Design Automation Conference on Design Automation Conference, pages 1--6. ACM, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Xi Chen, Zheng Xu, Hyungjun Kim, Paul V. Gratz, Jiang Hu, Michael Kishinevsky, Umit Ogras, and Raid Ayoub. Dynamic voltage and frequency scaling for shared resources in multicore processor designs. In Proceedings of the 50th Annual Design Automation Conference, DAC '13, pages 114:1--114:7, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Jason Cong, Mohammad Ali Ghodrat, Michael Gill, Beayna Grigorian, and Glenn Reinman. Architecture support for accelerator-rich cmps. In Proceedings of the ACM 49th Annual Design Automation Conference (DAC), 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Saurabh Dighe, Sriram R Vangal, Paolo Aseron, Shasi Kumar, Tiju Jacob, Keith A Bowman, Jason Howard, James Tschanz, Vasantha Erraguntla, Nitin Borkar, et al. Within-die variation-aware dynamic-voltage-frequency-scaling with optimal core allocation and thread hopping for the 80-core teraflops processor. Solid-State Circuits, IEEE Journal of, 46(1):184--193, 2011.Google ScholarGoogle Scholar
  6. Hadi Esmaeilzadeh, Emily Blem, RenÃl'e St. Amant, Karthikeyan Sankaralingam, and Doug Burger. Dark silicon and the end of multicore scaling. In Computer Architecture (ISCA), 2011 38th Annual International Symposium on, pages 365--376, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Nikos Hardavellas, Michael Ferdman, Babak Falsafi, and Anastasia Ailamaki. Toward dark silicon in servers. Micro, IEEE, 31(4):6--15, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Jörg Henkel, Lars Bauer, Nikil Dutt, Puneet Gupta, Sani Nassif, Muhammad Shafique, Mehdi Tahoori, and Norbert Wehn. Reliable on-chip systems in the nano-era: Lessons learnt and future trends. In DAC, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Jörg Henkel, Lars Bauer, Hongyan Zhang, Semeen Rehman, and Muhammad Shafique. Multi-layer dependability: From microarchitecture to application level. In Proceedings of the The 51st Annual Design Automation Conference on Design Automation Conference, DAC '14, pages 47:1--47:6, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Mark D Hill and Michael R Marty. Amdahl's law in the multicore era. IEEE Computer, 41(7):33--38, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Intel Corporation. Dual-core intel xeon processor 5100 series datasheet, revision 003, August 2007.Google ScholarGoogle Scholar
  12. Brian Jeff. Advances in big.little technology for power and energy savings. 2012.Google ScholarGoogle Scholar
  13. Tanay Karnik, Yibin Ye, James Tschanz, Liqiong Wei, Steven Burns, Venkatesh Govindarajulu, Vivek De, and Shekhar Borkar. Total power optimization by simultaneous dual-vt allocation and device sizing in high performance microprocessors. In Design Automation Conference, 2002. Proceedings. 39th, pages 486--491, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Himanshu Kaul, Mark Anders, Steven Hsu, Amit Agarwal, Ram Krishnamurthy, and Shekhar Borkar. Near-threshold voltage (ntv) design: opportunities and challenges. In Proceedings of the 49th Annual Design Automation Conference, pages 1153--1158. ACM, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Florian Kriebel, Semeen Rehman, Duo Sun, Muhammad Shafique, and Jörg Henkel. Aser: Adaptive soft error resilience for reliability-heterogeneous processors in the dark silicon era. In Design Automation Conference (DAC), 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Tuo Li, Muhammad Shafique, Jude Angelo Ambrose, Semeen Rehman, Jörg Henkel, and Sri Parameswaran. Raster: runtime adaptive spatial/temporal error resiliency for embedded processors. In DAC, page 62, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Tuo Li, Muhammad Shafique, Semeen Rehman, Jude Angelo Ambrose, Jörg Henkel, and Sri Parameswaran. DHASER: dynamic heterogeneous adaptation for soft-error resiliency in ASIP-based multi-core systems. In ICCAD, pages 646--653, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Tuo Li, Muhammad Shafique, Semeen Rehman, Swarnalatha Radhakrishnan, Roshan G. Ragel, Jude Angelo Ambrose, Jörg Henkel, and Sri Parameswaran. Cser: Hw/sw configurable soft-error resiliency for application specific instruction-set processors. In DATE, pages 707--712, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Michael J. Lyons, Mark Hempstead, Gu-Yeon Wei, and David Brooks. The accelerator store: A shared memory framework for accelerator-based systems. ACM Trans. Archit. Code Optim., 8(4):48:1--48:22, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Thannirmalai Somu Muthukaruppan, Anuj Pathania, and Tulika Mitra. Price theory based power management for heterogeneous multi-cores. In International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Thannirmalai Somu Muthukaruppan, Mihai Pricopi, Vanchinathan Vanchinathan, Tulika Mitra, and Sanjay Vishin. Hierarchical power management for asymmetric multi-core in dark silicon era. In Design Automation Conference (DAC), 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. Santiago Pagani, Heba Khdr, Waqaas Munawar, Jian-Jia Chen, Muhammad Shafique, Minming Li, and Jörg Henkel. TSP: Thermal Safe Power - efficient power budgeting for many-core systems in dark silicon. In Proceedings of the IEEE/ACM International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. Prasanna Pandit and R. Govindarajan. Fluidic kernels: Cooperative execution of opencl programs on multiple heterogeneous devices. In International Symposium on Code Generation and Optimization (CGO), 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Anuj Pathania, Qing Jiao, Alok Prakash, and Tulika Mitra. Integrated cpu-gpu power management for 3d mobile games. In Design Automation Conference (DAC), 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Mihai Pricopi, Thannirmalai Somu Muthukaruppan, Vanchinathan Venkataramani, Tulika Mitra, and Sanjay Vishin. Power-performance modeling on asymmetric multi-cores. In International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES), 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. Bharathwaj Raghunathan and Siddharth Garg. Job arrival rate aware scheduling for asymmetric multi-core servers in the dark silicon era. In Proceedings of the IEEE/ACM International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. Bharathwaj Raghunathan, Yatish Turakhia, Siddharth Garg, and Diana Marculescu. Cherry-picking: exploiting process variations in dark-silicon homogeneous chip multi-processors. In Proceedings of the Conference on Design, Automation and Test in Europe, pages 39--44. EDA Consortium, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. Semeen Rehman, Muhammad Shafique, Pau Vilimelis Aceituno, Florian Kriebel, Jian-Jia Chen, and Jörg Henkel. Leveraging variable function resilience for selective software reliability on unreliable hardware. In DATE, pages 1759--1764, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. Semeen Rehman, Muhammad Shafique, Florian Kriebel, and Jörg Henkel. Reliable software for unreliable hardware: embedded code generation aiming at reliability. In International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), pages 237--246, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. Semeen Rehman, Anas Toma, Florian Kriebel, Muhammad Shafique, Jian-Jia Chen, and Jörg Henkel. Reliable code generation and execution on unreliable hardware under joint functional and timing reliability considerations. In IEEE Real-Time and Embedded Technology and Applications Symposium, pages 273--282, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. Efi Rotem et al. Power-management architecture of the intel microarchitecture code-named sandy bridge. IEEE Micro, 32(2):20--27, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. Muhammad Shafique, Siddharth Garg, Jörg Henkel, and Diana Marculescu. The EDA challenges in the dark silicon era: Temperature, reliability, and variability perspectives. In Design Automation Conference (DAC), 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. Muhammad Shafique, Semeen Rehman, Pau Vilimelis Aceituno, and Jörg Henkel. Exploiting program-level masking and error propagation for constrained reliability optimization. In DAC, page 17, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. Youngmin Shin et al. 28nm high- metal-gate heterogeneous quad-core cpus for high-performance and energy-efficient mobile application processor. In International Solid-State Circuits Conference (ISSCC), 2013.Google ScholarGoogle Scholar
  35. John E. Stone, D. Gohara, and G. Shi. Opencl: A parallel programming standard for heterogeneous computing systems. In Computing in science and engineering, volume 12.3, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. M. Taylor. Is dark silicon useful?: harnessing the four horsemen of the coming dark silicon apocalypse. In Proceedings of the 49th ACM Annual Design Automation Conference (DAC), pages 1131--1136, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  37. Y. Turakhia et al. Hades: Architectural synthesis for heterogeneous dark silicon chip multi-processors. In Proceedings of the 50th ACM Design Automation Conference (DAC), 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  38. Yatish Turakhia, Bharathwaj Raghunathan, Siddharth Garg, and Diana Marculescu. Hades: architectural synthesis for heterogeneous dark silicon chip multi-processors. In Proceedings of the 50th Annual Design Automation Conference, page 173. ACM, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Dark silicon as a challenge for hardware/software co-design: invited special session paper

          Recommendations

          Comments

          Login options

          Check if you have access through your login credentials or your institution to get full access on this article.

          Sign in
          • Published in

            cover image ACM Conferences
            CODES '14: Proceedings of the 2014 International Conference on Hardware/Software Codesign and System Synthesis
            October 2014
            331 pages
            ISBN:9781450330510
            DOI:10.1145/2656075

            Copyright © 2014 ACM

            Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

            Publisher

            Association for Computing Machinery

            New York, NY, United States

            Publication History

            • Published: 12 October 2014

            Permissions

            Request permissions about this article.

            Request Permissions

            Check for updates

            Qualifiers

            • research-article

            Acceptance Rates

            Overall Acceptance Rate280of864submissions,32%

            Upcoming Conference

            ESWEEK '24
            Twentieth Embedded Systems Week
            September 29 - October 4, 2024
            Raleigh , NC , USA

          PDF Format

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader