skip to main content
research-article

Automated Iterative Pipelining for ASIC Design

Published:02 March 2015Publication History
Skip Abstract Section

Abstract

We describe an automated pipelining approach for optimally balanced pipeline implementation that achieves low area cost as well as meeting timing requirements. Most previous automatic pipelining methods have focused on Instruction Set Architecture (ISA)-based designs and the main goal of such methods generally has been maximizing performance as measured in terms of instructions per clock (IPC). By contrast, we focus on datapath-oriented designs (e.g., DSP filters for image or communication processing applications) in ASIC design flows. The goal of the proposed pipelining approach is to find the optimally pipelined design that not only meets the user-specified target clock frequency, but also seeks to minimize area cost of a given design. Unlike most previous approaches, the proposed methods incorporate the use of accurate area and timing information (iteratively achieved by synthesizing every interim pipelined design) to achieve higher accuracy during design exploration. When compared with exhaustive design exploration that considers all possible pipeline patterns, the two heuristic pipelining methods presented here involve only a small area penalty (typically under 5%) while offering dramatically reduced computational complexity. Experimental validation is performed with commercial ASIC design tools and described for applications including polynomial function evaluation, FIR filters, matrix multiplication, and discrete wavelet transform filter designs with a 90nm standard cell library.

References

  1. J. Campbell and N. Day. 2003. High-level optimization of pipeline design. In Proceedings of the 8th IEEE International High-Level Design Validation and Test Workshop (HLDVT'03). 43--48. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. A. P. Chandrakasan, S. Sheng, and R. W. Brodersen. 1992. Low-power CMOS digital design. IEEE J. Solid-State Circ. 27, 4, 473--483.Google ScholarGoogle ScholarCross RefCross Ref
  3. J. Cong, Y. Fan, and Z. Zhang. 2004. Architecture-level synthesis for automatic interconnect pipelining. In Proceedings of the Design Automation Conference (DAC'04). 602--607. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. J. Cong, A. Jagannathan, K. Konigsfeld, D. Milliron, M. Mohan, G. Reinman, M. Romesis, and H. Yang. 2005. Microarchitecture evaluation with floorplanning and interconnect pipelining. In Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC'05). 8--15. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. J. Cong and C. Wu. 1997. FPGA synthesis with retiming and pipelining for clock period minimization of sequential circuits. In Proceedings of the 34th Annual Design Automation Conference (DAC'97). 644--649. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. S. Devadas and A. Newton. 1989. Algorithms for hardware allocation in data dath svnthesis. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 8, 768--781. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. M. Dhodhi, F. Hielscher, R. Storer, and J. Bhasker. 1995. Datapath synthesis using a problem-space genetic algorithm. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 14, 934--944. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. M. Galceran-Oms, J. Cortadella, D. Bufistov, and M. Kishinevsky. 2010. Automatic microarchitectural pipelining. In Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE'10). 961--964. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. D. Kroening and W. Paul. 2001. Automated pipeline design. In Proceedings of the Design Automation Conference (DAC'01). Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. D. Lee, L. Kim, and J. Villasenor. 2012. Precision-aware self-quantizing hardware architectures for the discrete wavelet transform. IEEE Trans. Image Process. 21, 768--777. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Y. Ma, Z. Li, J. Cong, X. Hong, G. Reinman, S. Dong, and Q. Zhou. 2007. Micro-architecture pipelining optimization with throughput-aware floorplanning. In Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC'07). 920--925. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. M. Marinescu and M. Rinard. 2001. High-level automatic pipelining for sequential circuits. In Proceedings of the 14th International Symposium on System Synthesis (ISSS'01). 215--220. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. J. Nestor and G. Krishnamoorthy. 1993. SALSA: A new approach to scheduling with timing constraints. IEEE Trans. Comput.- Aided Des. Integr. Circ. Syst. 12, 1107--1122. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. E. Nurvitadhi, J. Hoe, T. Kam, and S. Lu. 2010a. Automatic multithreaded pipeline synthesis from transactional datapath specifications. In Proceedings of the Design Automation Conference (DAC'10). 314--319. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. E. Nurvitadhi, J. Hoe, T. Kam, and S. Lu. 2010b. Automatic pipelining from transactional datapath specifications. In Proceedings of the Design, Automation and Test in Europe Conference (DATE'10). Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. V. Strassen. 1969. Gaussian elimination is not optimal. Numerische Mathematik 13, 354--356. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. R. R. Tummala. 2004. Retiming for wire pipelining in system-on-chip. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 23, 9, 1338--1345. Google ScholarGoogle ScholarDigital LibraryDigital Library

Recommendations

Comments

Login options

Check if you have access through your login credentials or your institution to get full access on this article.

Sign in

Full Access

  • Published in

    cover image ACM Transactions on Design Automation of Electronic Systems
    ACM Transactions on Design Automation of Electronic Systems  Volume 20, Issue 2
    February 2015
    404 pages
    ISSN:1084-4309
    EISSN:1557-7309
    DOI:10.1145/2742143
    • Editor:
    • Naehyuck Chang
    Issue’s Table of Contents

    Copyright © 2015 ACM

    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    • Published: 2 March 2015
    • Accepted: 1 August 2014
    • Revised: 1 July 2014
    • Received: 1 April 2014
    Published in todaes Volume 20, Issue 2

    Permissions

    Request permissions about this article.

    Request Permissions

    Check for updates

    Qualifiers

    • research-article
    • Research
    • Refereed

PDF Format

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader