skip to main content
10.1145/2684746.2689074acmconferencesArticle/Chapter ViewAbstractPublication PagesfpgaConference Proceedingsconference-collections
research-article

Take the Highway: Design for Embedded NoCs on FPGAs

Published:22 February 2015Publication History

ABSTRACT

We explore the addition of a fast embedded network-on-chip (NoC) to augment the FPGA's existing wires and switches, and help interconnect large applications. A flexible interface between the FPGA fabric and the embedded NoC allows modules of varying widths and frequencies to transport data over the NoC. We study both latency-insensitive and latency-sensitive design styles and present the constraints for implementing each type of communication on the embedded NoC. Our application case study with image compression shows that an embedded NoC improves frequency by 10-80%, reduces utilization of scarce long wires by 40% and makes design easier and more predictable. Additionally, we leverage the embedded NoC in creating a programmable Ethernet switch that can support up to 819 Gb/s on FPGAs.

References

  1. M. S. Abdelfattah. FPGA NoC Designer. www.eecg.utoronto.ca/~mohamed/noc_designer.html.Google ScholarGoogle Scholar
  2. M. S. Abdelfattah and V. Betz. Design Tradeoffs for Hard and Soft FPGA-based Networks-on-Chip. In FPT, pages 95--103, 2012.Google ScholarGoogle ScholarCross RefCross Ref
  3. M. S. Abdelfattah and V. Betz. The Power of Communication: Energy-Efficient NoCs for FPGAs. In FPL, pages 1--8, 2013.Google ScholarGoogle ScholarCross RefCross Ref
  4. M. S. Abdelfattah and V. Betz. Networks-on-Chip for FPGAs: Hard, Soft or Mixed? TRETS, 7(3):20:1--20:22, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. M. S. Abdelfattah and V. Betz. The Case for Embedded Networks-on-Chip on Field-Programmable Gate Arrays. IEEE Micro, 34(1):80--89, 2014.Google ScholarGoogle ScholarCross RefCross Ref
  6. Altera Corp. Video and Image Processing Suite, 2014.Google ScholarGoogle Scholar
  7. A. Bitar et al. Efficient and programmable Ethernet switching with a NoC-enhanced FPGA. In ANCS, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. L. Carloni and A. Sangiovanni-Vincentelli. Coping with latency in SOC design. IEEE Micro, 22(5):24--35, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. E. S. Chung, J. C. Hoe, and K. Mai. CoRAM: An In-Fabric Memory Architecture for FPGA-based Computing. In FPGA, pages 97--106, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. D. U. Becker. Efficient Microarchitecture for Network on Chip Routers. PhD thesis, Stanford University, 2012.Google ScholarGoogle Scholar
  11. Z. Dai and J. Zhu. Saturating the Transceiver BW: Switch Fabric Design on FPGAs. In FPGA, pages 67--75, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. W. J. Dally and B. Towles. Principles and Practices of Interconnection Networks. Morgan Kaufmann Publishers, Boston, MA, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. I. Elhanany et al. The network processing forum switch fabric benchmark specifications: An overview. IEEE Network, 19(2):5--9, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. N. Enright Jerger, L.-S. Peh, and M. Lipasti. Virtual circuit tree multicasting: A case for on-chip hardware multicast support. In ISCA, pages 229--240, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. R. Francis and S. Moore. Exploring Hard and Soft Networks-on-Chip for FPGAs. In FPT, pages 261--264, 2008.Google ScholarGoogle ScholarCross RefCross Ref
  16. K. Goossens, J. Dielissen, and A. Radulescu. Aethereal network on chip: Concepts, architectures, and implementations. IEEE Design and Test, 22(5), 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. K. Goossens et al. Hardwired Networks on Chip in FPGAs to Unify Functional and Configuration Interconnects. In NOCS, pages 45--54, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. A. Henson and R. Herveille. Video Compression Systems. www.opencores.org/project,video_systems, 2008.Google ScholarGoogle Scholar
  19. R. Ho, K. W. Mai, and M. A. Horowitz. The Future of Wires. Proceedings of the IEEE, 89(4):490--504, 2001.Google ScholarGoogle ScholarCross RefCross Ref
  20. N. Jiang et al. A Detailed and Flexible Cycle-Accurate Network-on-Chip Simulator. In ISPASS, pages 86--96, 2013.Google ScholarGoogle ScholarCross RefCross Ref
  21. D. Lewis et al. Architectural Enhancements in Stratix V. In FPGA, pages 147--156, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. R. Lu and C.-K. Koh. Performance optimization of latency insensitive systems through buffer queue sizing of communication channels. In ICCAD, pages 227--231, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. K. E. Murray and V. Betz. Quantifying the Cost and Benefit of Latency Insensitive Communication on FPGAs. In FPGA, pages 223--232, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. A. Putnam et al. A reconfigurable fabric for accelerating large-scale datacenter services. In ISCA, pages 13--24, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. D. J. Sorin et al. A Primer on Memory Consistency and Cache Coherence. Synthesis Lectures on Computer Architecture, 6(3):1--212, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. Xilinx Inc. Virtex-5,6,7 Family Overview, 2009-2014.Google ScholarGoogle Scholar
  27. A. Ye and J. Rose. Using Bus-based Connections to Improve Field-programmable Gate-array Density for Implementing Datapath Circuits. TVLSI, 14(5):462--473, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Take the Highway: Design for Embedded NoCs on FPGAs

          Recommendations

          Comments

          Login options

          Check if you have access through your login credentials or your institution to get full access on this article.

          Sign in
          • Published in

            cover image ACM Conferences
            FPGA '15: Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays
            February 2015
            292 pages
            ISBN:9781450333153
            DOI:10.1145/2684746

            Copyright © 2015 ACM

            Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

            Publisher

            Association for Computing Machinery

            New York, NY, United States

            Publication History

            • Published: 22 February 2015

            Permissions

            Request permissions about this article.

            Request Permissions

            Check for updates

            Qualifiers

            • research-article

            Acceptance Rates

            FPGA '15 Paper Acceptance Rate20of102submissions,20%Overall Acceptance Rate125of627submissions,20%

          PDF Format

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader