skip to main content
10.1145/2717764.2717768acmconferencesArticle/Chapter ViewAbstractPublication PagesispdConference Proceedingsconference-collections
research-article
Best Paper

A Cell-Based Row-Structure Layout Decomposer for Triple Patterning Lithography

Authors Info & Claims
Published:29 March 2015Publication History

ABSTRACT

In this paper, we study a cell-based row-structure layout decomposition problem for triple patterning lithography (TPL) which asks to minimize a weighted sum of coloring conflicts and stitches. We show how to extend a prior graph-based approach to solve the problem optimally under certain assumptions. Furthermore, several methods to substantially reduce the graph size and hence to accelerate the extended approach are presented. Experimental results show that our decomposer can significantly outperform a state-of-the-art work in terms of both solution quality and run time.

References

  1. V. O. Anton, N. Peter, H. Judy, G. Ronald, and N. Robert. Pattern split rules! a feasibility study of rule based pitch decomposition for double patterning. In Proc. of SPIE, volume 6730, 2007.Google ScholarGoogle Scholar
  2. S.-Y. Fang, Y.-W. Chang, and W.-Y. Chen. A novel layout decomposition algorithm for triple patterning lithography. In Proc. of DAC, pages 1185--1190, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. J. Kuang, W.-K. Chow, and E. F. Y. Young. Triple patterning lithography aware optimization for standard cell based design. In Proc. of ICCAD, pages 108--115, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. J. Kuang and E. F. Y. Young. An efficient layout decomposition approach for triple patterning lithography. In Proc. of DAC, pages 1--6, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Y.-H. Lin, B. Yu, D. Z. Pan, and Y.-L. Li. Triad: A triple patterning lithography aware detailed router. In Proc. of ICCAD, pages 123--129, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. H. Z. Q. Ma and M. D. F. Wong. Triple patterning aware routing and its comparison with double patterning aware routing in 14nm technology. In Proc. of DAC, pages 591--596, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. H. Tian, Y. Du, H. Zhang, Z. Xiao, and M. D. F. Wong. Triple patterning aware detailed placement with constrained pattern assignment. In Proc. of ICCAD, pages 116--123, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. H. Tian, H. Zhang, Q. Ma, Z. Xiao, and M. D. F. Wong. A polynomial time triple patterning algorithm for cell based row-structure layout. In Proc. of ICCAD, pages 57--64, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Y. Xu and C. Chu. A matching based decomposer for double patterning lithography. In Proc. of ISPD, pages 121--126, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. B. Yu, Y.-H. Lin, G. Luk-Pat, D. Ding, K. Lucas, and D. Z. Pan. A high-performance triple patterning layout decomposer with balanced density. In Proc. of ICCAD, pages 163--169, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. B. Yu, X. Xu, J.-R. Gao, and D. Z. Pan. Methodology for standard cell compliance and detailed placement for triple patterning lithography. In Proc. of ICCAD, pages 349--356, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. B. Yu, B. Zhang, D. Ding, and D. Z. Pan. Layout decomposition for triple patterning lithography. In Proc. of ICCAD, pages 1--8, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. A Cell-Based Row-Structure Layout Decomposer for Triple Patterning Lithography

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      ISPD '15: Proceedings of the 2015 Symposium on International Symposium on Physical Design
      March 2015
      204 pages
      ISBN:9781450333993
      DOI:10.1145/2717764

      Copyright © 2015 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 29 March 2015

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      ISPD '15 Paper Acceptance Rate14of37submissions,38%Overall Acceptance Rate62of172submissions,36%

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader