skip to main content
10.1145/2717764.2717785acmconferencesArticle/Chapter ViewAbstractPublication PagesispdConference Proceedingsconference-collections
research-article

A Benchmark Suite to Jointly Consider Logic Synthesis and Physical Design

Published:29 March 2015Publication History

ABSTRACT

This paper presents an improved benchmark suite to jointly consider logic synthesis and physical design. Usually, benchmark circuits were provided by the physical design and the logic synthesis communities separately, according to their specific needs. The files provided for each benchmark set were restricted to the views necessary for the community. Additional specifications of design intents are necessary to express optimization goals that can be shared by logic synthesis and physical design communities, as circuits alone do not carry sufficient information to establish a benchmark with a clear optimization goal. In this paper, we describe benchmarks as a set composed of circuits, design intents (constraints), floorplan, target library and technology. Disregarding pieces of information provided for the benchmarks can change the associated criticality and affect the combined or isolated outcome of logic synthesis and physical design. The proposition of this benchmark suite brings attention to the problem of considering adequately the complete context of design intent throughout the flow.

References

  1. Jae-sun Seo, Igor L Markov, Dennis Sylvester, and David Blaauw. On the decreasing significance of large standard cells in technology mapping. In Proc. of Int'l Conf. on Computer-Aided Design (ICCAD), 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. T. N. Theis. The future of interconnection technology. IBM Journal of Research and Development, 44(3):379--390, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Kurt Keutzer, A. Richard Newton, and Narendra Shenoy. The future of logic synthesis and physical design in deep-submicron process geometries. In Proc. of Int'l Symp. on Physical Design (ISPD), 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. W. Gosti, A. Narayan, R. K. Brayton, and A. L. Sangiovanni-Vincentelli. Wireplanning in logic synthesis. In Proc. of Int'l Conf. on Computer-Aided Design (ICCAD), 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Kai-hui Chang, Igor L. Markov, and Valeria Bertacco. Safe Delay Optimization for Physical Synthesis. In Proc. of Asia and South Pacific Design Automation Conference (ASP-DAC), 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Michael J Flynn, Patrick Hung, and Kevin W Rudd. Deep-Submicron Microprocessor Design Issues. IEEE Micro, 19(4), 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. David Bryan. The ISCAS'85 benchmark circuits and netlist format. North Carolina State University, 1985.Google ScholarGoogle Scholar
  8. F. Brglez, D. Bryan, and K. Kozminski. Combinational profiles of sequential benchmark circuits. In Proc. of Int'l Symp. on Circuits and Systems, 1989.Google ScholarGoogle ScholarCross RefCross Ref
  9. Saeyang Yang. ACM/SIGDA Benchmarks: Logic Synthesis and Optimization Benchmarks User Guide Version 3.0, 1991.Google ScholarGoogle Scholar
  10. Fulvio Corno, Matteo Sonza Reorda, and Giovanni Squillero. Rt-level itc'99 benchmarks and first atpg results. IEEE Design & Test of Computers, 17(3):44--53, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Christoph Albrecht. IWLS 2005 Benchmarks. In Int'l Workshop on Logic & Synthesis (IWLS), 2005.Google ScholarGoogle Scholar
  12. Gi-Joon Nam, Charles J. Alpert, Paul Villarrubia, Bruce Winter, and Mehmet Yildiz. The ISPD2005 Placement Contest and Benchmark Suite. In Proc. of Int'l Symp. on Physical Design (ISPD), 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Gi-Joon Nam. ISPD 2006 Placement Contest: Benchmark Suite and Results. In Proc. of Int'l Symp. on Physical Design (ISPD), 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Gi-Joon Nam, Mehmet Yildiz, David Z. Pan, and Patrick H. Madden. ISPD Placement Contest Updates and ISPD 2007 Global Routing Contest. In Proc. of Int'l Symp. on Physical Design (ISPD), 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Gi-Joon Nam, Cliff Sze, and Mehmet Yildiz. The ISPD Global Routing Benchmark Suite. In Proc. of Int'l Symp. on Physical Design (ISPD), 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Gi-Joon Nam, Cliff Sze, and Mehmet Yildiz. The ISPD Global Routing Benchmark Suite. In Proc. of Int'l Symp. on Physical Design (ISPD), 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. C. N. Sze. ISPD 2010 High Performance Clock Network Synthesis Contest: Benchmark Suite and Results. In Proc. of Int'l Symp. on Physical Design (ISPD), 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Natarajan Viswanathan, Charles J. Alpert, Cliff Sze, Zhuo Li, Gi-Joon Nam, and Jarrod A. Roy. The ISPD-2011 Routability-driven Placement Contest and Benchmark Suite. In Proc. of Int'l Symp. on Physical Design (ISPD), 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Muhammet Mustafa Ozdal, Chirayu Amin, Andrey Ayupov, Steven Burns, Gustavo Wilke, and Cheng Zhuo. The ISPD-2012 Discrete Cell Sizing Contest and Benchmark Suite. In Proc. of Int'l Symp. on Physical Design (ISPD), 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. M. M. Ozdal, C. Amin, A. Ayupov, S. Burns, G. Wilke, and C. Zhuo. An Improved Benchmark Suite for the ISPD-2013 Discrete Cell Sizing Contest. In Proc. of Int'l Symp. on Physical Design (ISPD), 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Vlad Yutsis, Ismail S. Bustany, David Chinnery, and Joseph Shinnerl. A benchmark suite for the ISPD-2014 detailed routing-driven placement contest. In Proc of Intl Symp on Physical Design (ISPD), 2014.Google ScholarGoogle Scholar
  22. Ismail S. Bustany, David Chinnery, Joseph R. Shinnerl, and Vladimir Yutsis. ISPD 2015 Benchmarks with Fence Regions and Routing Blockages for Detailed-Routing-Driven Placement. In Proc. of Int'l Symp. on Physical Design (ISPD), 2015. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. Jody Matos, Renato Ribas, and Andre Reis. Logic-physical-aware benchmark suite. http://www.inf.ufrgs.br/logics/downloads, 2015.Google ScholarGoogle Scholar
  24. Bryan Preas. Benchmarks for cell-based layout systems. In Proc. of Design Automation Conference (DAC), 1987. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Krzysztof Koźmiński. Benchmarks for layout synthesis - evolution and current status. In Proc. of Design Automation Conference (DAC), 1991. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. Steve Meyer. Using controlled experiments in layout. ACM SIGDA Newsletter, 21(1):46--55, 1991. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. Carl Sechen. Average interconnection length estimation for random and optimized placements. In Proc. of Int'l Conf. on Computer-Aided Design (ICCAD), 1987.Google ScholarGoogle Scholar
  28. M. Pedram and B. Preas. Interconnection length estimation for optimized standard cell layouts. In Proc. of Int'l Conf. on Computer Design: VLSI in Computers and Processors (ICCD), 1989.Google ScholarGoogle ScholarCross RefCross Ref
  29. M. Pedram and B. Preas. Accurate prediction of physical design characteristics for random logic. In Proc. of Int'l Conf. on Computer Design: VLSI in Computers and Processors (ICCD), 1989.Google ScholarGoogle ScholarCross RefCross Ref
  30. A. Reis, J. Roy, V. Shende, I. Markov, F. Mo, and A. Kuehlmann. IWLS 2003 Focus Group on Benchmarks Presentation. http://www.iwls.org/iwls2003/benchmarks.ppt.Google ScholarGoogle Scholar
  31. Saurabh N Adya, Mehmet Can Yildiz, Igor L Markov, et al. Benchmarking for large-scale placement and beyond. Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 23(4), 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. N. Viswanathan, C. Alpert, C. Sze, Zhuo Li, and Yaoguang Wei. The DAC 2012 routability-driven placement contest and benchmark suite. In Proc. Design Automation Conference (DAC), 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. Tanuj Jindal, Charles J. Alpert, Jiang Hu, Zhuo Li, Gi-Joon Nam, and Charles B. Winn. Detecting tangled logic structures in VLSI netlists. In Proc of Design Automation Conference (DAC), 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. Franc Brglez. A neutral netlist of 10 combinational benchmark circuits and a target translation in fortran. In Proc. of Int'l Symp. on Circuits and Systems (ISCAS), 1985.Google ScholarGoogle Scholar
  35. Franc Brglez, David Bryan, and Krzysztof Koźmiński. Combinational profiles of sequential benchmark circuits. In Proc. of Int'l Symp. on Circuits and Systems (ISCAS), 1989.Google ScholarGoogle ScholarCross RefCross Ref
  36. Joachim Pistorius, Mike Hutton, Alan Mishchenko, and Robert Brayton. Benchmarking method and designs targeting logic synthesis for fpgas. In Proc. of Int'l Workshop on Logic & Ssynthesis (IWLS), 2007.Google ScholarGoogle Scholar
  37. Synopsys. Synopsys Design Constraints (SDC), 2013.Google ScholarGoogle Scholar
  38. Berkeley Logic Synthesis and Verification Group. Abc: A system for sequential synthesis and verification. http://www.eecs.berkeley.edu/~alanmi/abc/.Google ScholarGoogle Scholar
  39. A. Biere. AIGER Format. http://fmv.jku.at/aiger/, 2007.Google ScholarGoogle Scholar
  40. A. Mishchenko, S. Chatterjee, and R. Brayton. DAG-aware AIG rewriting: a fresh look at combinational logic synthesis. In Proc. of Design Automation Conference (DAC), 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  41. Cadence Inc. LEF/DEF 5.3 to 5.7 exchange format, 2009.Google ScholarGoogle Scholar
  42. Open Source Liberty. Liberty Specifications and Documentation, 2011.Google ScholarGoogle Scholar
  43. M. Martins, Jody Matos, Renato Ribas, André Reis, Guilherme Schlinker, Lucio Rech, and Jens Michelsen. Open Cell Library in 15nm FreePDK Technology. In Proc. of the Int'l Symp. on Physical Design (ISPD), 2015. Google ScholarGoogle ScholarDigital LibraryDigital Library
  44. Nangate Inc. NanGate FreePDK15 Open Cell Library. http://www.nangate.com/?page_id=2328.Google ScholarGoogle Scholar

Index Terms

  1. A Benchmark Suite to Jointly Consider Logic Synthesis and Physical Design

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        ISPD '15: Proceedings of the 2015 Symposium on International Symposium on Physical Design
        March 2015
        204 pages
        ISBN:9781450333993
        DOI:10.1145/2717764

        Copyright © 2015 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 29 March 2015

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        ISPD '15 Paper Acceptance Rate14of37submissions,38%Overall Acceptance Rate62of172submissions,36%

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader