skip to main content
10.1145/2742060.2742077acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
research-article

A High-Speed Robust NVM-TCAM Design Using Body Bias Feedback

Published: 20 May 2015 Publication History

Abstract

As manufacture process scales down rapidly, the design of ternary content-addressable memory (TCAM) requiring high storage density, fast access speed and low power consumption becomes very challenging. In recent years, many novel TCAM designs have been inspired by the research on emerging nonvolatile memory technologies, such as magnetic tunneling junction (MTJ), phase change memory (PCM), and memristor. These designs store a data as the resistive variable of a nonvolatile device, which usually results in limited sensing margin and therefore constrains the searching speed of TCAM architecture severely. To further enhance the performance and robustness of TCAMs, we proposed two novel cell designs that utilize MTJs as data storage units - the symmetrical dual-N structure and the asymmetrical P-N scheme. In both designs, a body bias feedback circuit is integrated to enlarge the sensing margins. Compared with an existing MTJ-based TCAM structure, the tolerance in gate voltage variation of the symmetrical dua-N (asymmetrical P-N) scheme can significantly improve 59.5% (21.2%). The latency and the dynamic energy consumption in one searching operation at the word length of 256 bits are merely 590.35ps (97.89ps) and 65.05fJ/bit (36.85fJ/bit), not even mentioning that the use of nonvolatile MTJ devices avoids unnecessary leakage power consumption.

References

[1]
K. Pagiamtzis and A. Sheikholeslami, "Content-addressable memory (cam) circuits and architectures: A tutorial and survey," IEEE Jour. Solid-State Circuits, vol. 41, no. 3, pp. 712--727, 2006.
[2]
Z. Ullah, M. K. Jaiswal, and R. C. Cheung, "E-tcam: An efficient sram-based architecture for tcam," Circuits, Systems, and Signal Processing, vol. 33, no. 10, pp. 3123--3144, 2014.
[3]
H. Li, X. Wang, Z.-L. Ong, W.-F. Wong, Y. Zhang, P. Wang, and Y. Chen, "Performance, power, and reliability tradeoffs of stt-ram cell subject to architecture-level requirement," IEEE Trans. Magnetics, vol. 47, no. 10, pp. 2356--2359, 2011.
[4]
Y. Chen, Y. Zhang, and P. Wang, "Probabilistic design in spintronic memory and logic circuit," in 17th Asia and South Pacific Design Automation Conference, pp. 323--328, IEEE, 2012.
[5]
J. Janesky, N. Rizzo, D. Houssameddine, R. Whig, F. Mancoff, M. DeHerrera, J. Sun, M. Schneider, H. Chia, et al., "Device performance in a fully functional 800mhz ddr3 spin torque magnetic random access memory," in 5th IEEE International Memory Workshop, pp. 17--20, IEEE, 2013.
[6]
N. Onizawa, S. Matsunaga, and T. Hanyu, "Design of a soft-error tolerant 9-transistor/6-magnetic-tunnel-junction hybrid cell based nonvolatile tcam," in IEEE 12th International New Circuits and Systems Conference, pp. 193--196, IEEE, 2014.
[7]
W. Xu, T. Zhang, and Y. Chen, "Design of spin-torque transfer magnetoresistive ram and cam/tcam with high sensing and search speed," IEEE Trans. Very Large Scale Integration Systems, vol. 18, no. 1, pp. 66--74, 2010.
[8]
N. Onizawa, S. Matsunaga, and T. Hanyu, "A compact soft-error tolerant asynchronous tcam based on a transistor/magnetic-tunnel-junction hybrid dual-rail word structure," in 20th IEEE International Symposium on Asynchronous Circuits and Systems, pp. 1--8, IEEE, 2014.
[9]
Y. Nishi, "Advances in non-volatile memory and storage technology," 2014.
[10]
S. Matsunaga, A. Katsumata, M. Natsui, S. Fukami, T. Endoh, H. Ohno, and T. Hanyu, "Fully parallel 6t-2mtj nonvolatile tcam with single-transistor-based self match-line discharge control," in Symposium on VLSI Circuits, pp. 298--299, IEEE, 2011.
[11]
W. Zhao and Y. Cao, "Predictive technology model for nano-cmos design exploration," ACM Jour. Emerging Technologies in Computing Systems, vol. 3, no. 1, p. 1, 2007.
[12]
Y. Zhang, X. Wang, and Y. Chen, "Stt-ram cell design optimization for persistent and non-persistent error rate reduction: a statistical design view," in Proceedings of the International Conference on Computer-Aided Design, pp. 471--477, IEEE, 2011.
[13]
Y. Iba, A. Takahashi, A. Hatada, M. Nakabayashi, C. Yoshida, Y. Yamazaki, et al., "A highly scalable stt-mram fabricated by a novel technique for shrinking a magnetic tunnel junction with reducing processing damage," in Symposium on VLSI Technology: Digest of Technical Papers, pp. 1--2, IEEE, 2014.
[14]
J. W. Tschanz et al., "Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage," IEEE Jour. Solid-State Circuits, vol. 37, no. 11, p. 1396, 2002.
[15]
Y. Zhang, W. Zhao, Y. Lakys, J.-O. Klein, J.-V. Kim, D. Ravelosona, and C. Chappert, "Compact modeling of perpendicular-anisotropy cofeb/mgo magnetic tunnel junctions," IEEE Trans. Electron Devices, vol. 59, no. 3, pp. 819--826, 2012.

Cited By

View all
  • (2021)GeNVoM: Read Mapping Near Non-Volatile MemoryIEEE/ACM Transactions on Computational Biology and Bioinformatics10.1109/TCBB.2021.3118018(1-1)Online publication date: 2021
  • (2020)Design of Magnetic Non-Volatile TCAM With Priority-Decision in Memory Technology for High Speed, Low Power, and High ReliabilityIEEE Transactions on Circuits and Systems I: Regular Papers10.1109/TCSI.2019.292979667:2(464-474)Online publication date: Feb-2020
  • (2019)Resistive CAM Acceleration for Tunable Approximate ComputingIEEE Transactions on Emerging Topics in Computing10.1109/TETC.2016.26420577:2(271-280)Online publication date: 1-Apr-2019
  • Show More Cited By

Index Terms

  1. A High-Speed Robust NVM-TCAM Design Using Body Bias Feedback

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    GLSVLSI '15: Proceedings of the 25th edition on Great Lakes Symposium on VLSI
    May 2015
    418 pages
    ISBN:9781450334747
    DOI:10.1145/2742060
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    In-Cooperation

    • IEEE CEDA
    • IEEE CASS

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 20 May 2015

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. body bias feedback
    2. nonvolatile memory (nvm)
    3. ternary content-addressable memory (tcam)

    Qualifiers

    • Research-article

    Funding Sources

    • NSF
    • Cisco Systems Inc.

    Conference

    GLSVLSI '15
    Sponsor:
    GLSVLSI '15: Great Lakes Symposium on VLSI 2015
    May 20 - 22, 2015
    Pennsylvania, Pittsburgh, USA

    Acceptance Rates

    GLSVLSI '15 Paper Acceptance Rate 41 of 148 submissions, 28%;
    Overall Acceptance Rate 312 of 1,156 submissions, 27%

    Upcoming Conference

    GLSVLSI '25
    Great Lakes Symposium on VLSI 2025
    June 30 - July 2, 2025
    New Orleans , LA , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)7
    • Downloads (Last 6 weeks)1
    Reflects downloads up to 17 Feb 2025

    Other Metrics

    Citations

    Cited By

    View all
    • (2021)GeNVoM: Read Mapping Near Non-Volatile MemoryIEEE/ACM Transactions on Computational Biology and Bioinformatics10.1109/TCBB.2021.3118018(1-1)Online publication date: 2021
    • (2020)Design of Magnetic Non-Volatile TCAM With Priority-Decision in Memory Technology for High Speed, Low Power, and High ReliabilityIEEE Transactions on Circuits and Systems I: Regular Papers10.1109/TCSI.2019.292979667:2(464-474)Online publication date: Feb-2020
    • (2019)Resistive CAM Acceleration for Tunable Approximate ComputingIEEE Transactions on Emerging Topics in Computing10.1109/TETC.2016.26420577:2(271-280)Online publication date: 1-Apr-2019
    • (2019)A Novel MTJ-Based Non-Volatile Ternary Content-Addressable Memory for High-Speed, Low-Power, and High-Reliable Search OperationIEEE Transactions on Circuits and Systems I: Regular Papers10.1109/TCSI.2018.288534366:4(1454-1464)Online publication date: Apr-2019
    • (2017)Skewed Straintronic Magnetotunneling-Junction-Based Ternary Content-Addressable Memory—Part IIIEEE Transactions on Electron Devices10.1109/TED.2017.270674464:7(2842-2848)Online publication date: Jul-2017
    • (2016)Resistive configurable associative memory for approximate computingProceedings of the 2016 Conference on Design, Automation & Test in Europe10.5555/2971808.2972118(1327-1332)Online publication date: 14-Mar-2016
    • (2016)RAM and TCAM designs by using STT-MRAM2016 16th Non-Volatile Memory Technology Symposium (NVMTS)10.1109/NVMTS.2016.7781514(1-5)Online publication date: Oct-2016
    • (2016)ReMAM: Low energy Resistive Multi-stage Associative Memory for energy efficient computing2016 17th International Symposium on Quality Electronic Design (ISQED)10.1109/ISQED.2016.7479183(101-106)Online publication date: Mar-2016
    • (2015)Recent progresses of STT memory design and applications2015 IEEE 11th International Conference on ASIC (ASICON)10.1109/ASICON.2015.7517038(1-4)Online publication date: Nov-2015

    View Options

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Figures

    Tables

    Media

    Share

    Share

    Share this Publication link

    Share on social media