skip to main content
research-article

DARP-MP: Dynamically Adaptable Resilient Pipeline Design in Multicore Processors

Published: 02 December 2015 Publication History

Abstract

In this article, we demonstrate that the sensitized path delays in various microprocessor pipe stages exhibit intriguing temporal and spatial variations during the execution of real-world applications. To effectively exploit these delay variations, we propose dynamically adaptable resilient pipeline (DARP)—a series of runtime techniques to boost power-performance efficiency and fault tolerance in a pipelined microprocessor. DARP employs early error prediction to avoid a major portion of the timing errors. We combine DARP with the state-of-art topologically homogeneous and power-performance heterogeneous (THPH) architecture to build up a new frontier for the energy efficiency of multicore processors (DARP-MP). Using a rigorous circuit-architectural infrastructure, we demonstrate that DARP substantially improves the multicore processor performance (9.4--20%) and energy efficiency (10--28.6%) compared to state-of-the-art techniques. The energy-efficiency improvements of DARP-MP are 42% and 49.9% compared against the original THPH and another state-of-art multicore power management scheme, respectively.

References

[1]
N. Binkert, B. Beckmann, G. Black, S. K. Reinhardt, A. Saidi, A. Basu, J. Hestness, D. R. Hower, T. Krishna, S. Sardashti, R. Sen, K. Sewell, M. Shoaib, N. Vaish, M. D. Hill, and D. A. Wood. 2011. The gem5 simulator. SIGARCH Comput. Archit. News 39, 2, 1--7.
[2]
S. Borkar. 2009. Design perspectives on 22nm CMOS and beyond. In Proceedings of the IEEE/ACM Design Automation Conference (DAC'09). 93--94.
[3]
K. Bowman, J. Tschanz, N. S. Kim, J. Lee, C. Wilkerson, S. Lu, T. Karnik, and V. De. 2009a. Energy-efficient and metastability-immune resilient circuits for dynamic variation tolerance. J. Solid-State Circ. 44, 1, 49--63.
[4]
K. Bowman, J. Tschanz, C. Wilkerson, S.-L. Lu, T. Karnik, V. De, and S. Borkar. 2009b. Circuit techniques for dynamic variation tolerance. In Proceedings of the IEEE/ACM Design Automation Conference (DAC'09). 4--7.
[5]
K. Chae, S. Mukhopadhyay, C. Lee, and J. Laskar. 2010. A dynamic timing control technique utilizing time borrowing and clock stretching. In Proceedings of the IEEE Custom Integrated Circuits Conference, (CICC'10). 1--4.
[6]
A. Chakraborty, K. Duraisami, A. V. Sathanur, P. Sithambaram, L. Benini, A. Macii, E. Macii, and M. Poncino. 2008. Dynamic thermal clock skew compensation using tunable delay buffers. IEEE Trans. VLSI Syst. 16, 6, 639--649.
[7]
K. Chakraborty, B. Cozzens, S. Roy, and D. M. Ancajas. 2013. Efficiently tolerating timing violations in pipelined microprocessors. In Proceedings of the IEEE/ACM Design Automation Conference (DAC'13).
[8]
K. Chakraborty and S. Roy. 2011. Topologically homogeneous power-performance heterogeneous multicore systems. In Proceedings of the IEEE/ACM Design, Automation, and Test in Europe Conference (DATE'11). 1--6.
[9]
K. Chakraborty and S. Roy. 2013. Architecturally homogeneous power-performance heterogeneous multicore systems. IEEE Trans. VLSI Syst. 21, 4, 670--679.
[10]
H. Chen, S. Roy, and K. Chakraborty. 2014. DARP: Dynamically adaptable resilient pipeline design in microprocessors. In Proceedings of the IEEE/ACM Design, Automation, and Test in Europe Conference (DATE'14). 1--6.
[11]
N. K. Choudhary, S. V. Wadhavkar, T. A. Shah, H. Mayukh, J. Gandhi, B. H. Dwiel, S. Navada, H. H. Najaf-Abadi, and E. Rotenberg. 2011. FabScalar: Composing synthesizable RTL designs of arbitrary cores within a canonical superscalar template. In Proceedings of the International Symposium on Computer Architecture (ISCA'11). 11--22.
[12]
M. R. Choudhury, V. Chandra, K. Mohanram, and R. C. Aitken. 2010. TIMBER: Time borrowing and error relaying for online timing error resilience. In Proceedings of the IEEE/ACM Design, Automation, and Test in Europe Conference (DATE'10). 1554--1559.
[13]
S. Das, C. Tokunaga, S. Pant, W.-H. MA, S. Kalaiselvan, K. Lai, D. Bull, and D. Blaauw. 2009. RazorII: In situ error detection and correction for PVT and SER tolerance. J. Solid-State Circ. 44, 1, 32--48.
[14]
M. Ghasemazar and M. Pedram. 2008. Minimizing the energy cost of throughput in a linear pipeline by opportunistic time borrowing. In Proceedings of the IEEE International Conference on Computer-Aided Design (ICCAD'08).
[15]
S. Ghosh, S. Bhunia, and K. Roy. 2007. CRISTA: A new paradigm for low-power, variation-tolerant, and adaptive circuit synthesis using critical path isolation. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 26, 11, 1947--1956.
[16]
V. Hanumaiah, S. Vrudhula, and K. S. Chatha. 2009. Maximizing performance of thermally constrained multicore processors by dynamic voltage and frequency control. In Proceedings of the IEEE International Conference on Computer-Aided Design (ICCAD'09). 310--313.
[17]
C. Isci, A. Buyuktosunoglu, C.-Y. Cher, P. Bose, and M. Martonosi. 2006. An analysis of efficient multi-core global power management policies: Maximizing performance for a given power budget. In Proceedings of the IEEE/ACM International Symposium on Microarchitecture (MICRO'06). 347--358.
[18]
S. Kothawade, K. Chakraborty, S. Roy, and Y. Han. 2012. Analysis of intermittent timing fault vulnerability. Microelectron. Reliab. 52, 7, 1515--1522.
[19]
Z. Lak and N. Nicolici. 2011. In-system and on-the-fly clock tuning mechanism to combat lifetime performance degradation. In Proceedings of the IEEE International Conference on Computer-Aided Design (ICCAD'11). 434--441.
[20]
S.-L. Lu. 2004. Speeding up processing with approximation circuits. Comput. 37, 3, 67--73.
[21]
P. Mahoney, E. Fetzer, B. Doyle, and S. Naffziger. 2005. Clock distribution on a dual-core, multi-threaded Itanium ®-family processor. In IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC'05). 292--599.
[22]
C. Mcnairy and R. Bhatia. 2005. Montecito: A dual-core, dual-thread Itanium ® processor. IEEE Micro 25, 2, 10--20.
[23]
F. J. Mesa-Martinez, J. Nayfach-Battilana, and J. Renau. 2007. Power model validation through thermal measurements. In Proceedings of the International Symposium on Computer Architecture (ISCA'07). 302--311.
[24]
N. Muralimanohar, R. Balasubramonian, and N. P. Jouppi. 2008. Architecting efficient interconnects for large caches with CACTI 6.0. IEEE Micro 28, 1, 69--79.
[25]
K. Nagaraj and S. Kundu. 2008. An automatic post silicon clock tuning system for improving system performance based on tester measurements. In Proceedings of the International Test Conference (ITC'08). 1--8.
[26]
U. Y. Ogras, R. Marculescu, P. Choudhary, and D. Marculescu. 2007. Voltage-frequency island partitioning for GALS-based networks-on-chip. In Proceedings of the IEEE/ACM Design Automation Conference (DAC'07). 110--115.
[27]
S. Pan, Y. Hu, and X. Li. 2010. IVF: Characterizing the vulnerability of microprocessor structures to intermittent faults. In Proceedings of the IEEE/ACM Design, Automation, and Test in Europe Conference (DATE'10). 238--243.
[28]
J. M. Rabaey, A. Chandrakasan, and B. Nikolic. 2003. Digital Integrated Circuits, 2nd ed. Prentice Hall.
[29]
A. Rahimi, L. Benini, and R. K. Gupta. 2012. Analysis of instruction-level vulnerability to dynamic voltage and temperature variations. In Proceedings of the Design, Automation, and Test in Europe Conference and Exhibition (DATE'12). 1102--1105.
[30]
A. Rahimi, L. Benini, and R. K. Gupta. 2014. Application-adaptive guardbanding to mitigate static and dynamic variability. IEEE Trans. Comput. 63, 9, 2160--2173.
[31]
K. K. Rangan, G.-Y. WEI, and D. Brooks. 2009. Thread motion: Fine-grained power management for multi-core systems. In Proceedings of the International Symposium on Computer Architecture (ISCA'09). 302--313.
[32]
S. Roy and K. Chakraborty. 2012. Predicting timing violations through instruction level path sensitization analysis. In Proceedings of the IEEE/ACM Design Automation Conference (DAC'12). 1074--1081.
[33]
S. Sarangi, B. Greskamp, R. Teodorescu, J. Nakano, A. Tiwari, and J. Torrellas. 2008. VARIUS: A model of process variation and resulting timing errors for microarchitects. IEEE Trans. Semiconductor Manufact. 21, 1, 3--13.
[34]
J. Sartori and R. Kumar. 2012. Compiling for energy efficiency on timing speculative processors. In Proceedings of the IEEE/ACM Design Automation Conference (DAC'12). 1301--1308.
[35]
M. Shah, R. T. Golla, G. Grohoski, P. J. Jordan, J. Barreh, J. Brooks, M. Greenberg, G. Levinsky, M. Luttrell, C. Olson, Z. Samoail, M. Smittle, and T. A. Ziaja. 2012. Sparc T4: A dynamically threaded server-on-a-chip. IEEE Micro 32, 2, 8--19.
[36]
D. Tadesse, J. Grodstein, and R. I. Bahar. 2009. AutoRex: An automated post-silicon clock tuning tool. In Proceedings of the International Test Conference (ITC'09). 1--10.
[37]
J.-L. Tsai, D. H. Baik, C. C.-P. Chen, and K. K. Saluja. 2004. A yield improvement methodology using pre- and post-silicon statistical clock scheduling. In Proceedings of the IEEE International Conference on Computer-Aided Design (ICCAD'04). 611--618.
[38]
J. Xin and R. Joseph 2011. Identifying and predicting timing-critical instructions to boost timing speculation. In Proceedings of the IEEE/ACM International Symposium on Microarchitecture (MICRO'11). 128--139.
[39]
R. Ye, F. Yuan, and Q. Xu. 2011. Online clock skew tuning for timing speculation. In Proceedings of the IEEE International Conference on Computer-Aided Design (ICCAD'11). 442--447.
[40]
W. Zhao and Y. Cao. 2006. New generation of predictive technology model for sub-45nm early design exploration. IEEE Trans. Electron Devices 53, 11, 2816--2823.

Cited By

View all
  • (2018)Trident: Comprehensive Choke Error Mitigation in NTC SystemsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2018.286395426:11(2195-2204)Online publication date: Nov-2018
  • (2017)Bias Temperature Instability Mitigation via Adaptive Cache Size ManagementIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2016.260657925:3(1012-1022)Online publication date: Mar-2017

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Transactions on Design Automation of Electronic Systems
ACM Transactions on Design Automation of Electronic Systems  Volume 21, Issue 1
November 2015
464 pages
ISSN:1084-4309
EISSN:1557-7309
DOI:10.1145/2852253
  • Editor:
  • Naehyuck Chang
Issue’s Table of Contents
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Publisher

Association for Computing Machinery

New York, NY, United States

Journal Family

Publication History

Published: 02 December 2015
Accepted: 01 April 2015
Revised: 01 March 2015
Received: 01 October 2014
Published in TODAES Volume 21, Issue 1

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. Microprocessor pipeline
  2. dynamic adjustment
  3. multicore processor
  4. sensitized delay variation

Qualifiers

  • Research-article
  • Research
  • Refereed

Funding Sources

  • National Science Foundation

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)5
  • Downloads (Last 6 weeks)0
Reflects downloads up to 07 Mar 2025

Other Metrics

Citations

Cited By

View all
  • (2018)Trident: Comprehensive Choke Error Mitigation in NTC SystemsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2018.286395426:11(2195-2204)Online publication date: Nov-2018
  • (2017)Bias Temperature Instability Mitigation via Adaptive Cache Size ManagementIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2016.260657925:3(1012-1022)Online publication date: Mar-2017

View Options

Login options

Full Access

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Figures

Tables

Media

Share

Share

Share this Publication link

Share on social media