skip to main content
research-article

FOLD: Extreme Static Test Compaction by Folding of Functional Test Sequences

Published:28 September 2015Publication History
Skip Abstract Section

Abstract

This article introduces a new approach to extreme static test compaction for functional test sequences that modifies the sequence in order to enhance the ability to omit test vectors from it and thus compact it. In the new approach, modification of the sequence and omission of test vectors from it are tightly coupled by focusing both subprocedures on subsequences of limited lengths. In a new process that is referred to as folding, a subsequence is partitioned into two halves, and the goal of the modification is to ensure that the two halves are as similar as possible. With similar halves, the expectation is that it will be possible to omit test vectors from the subsequence. Experimental results demonstrate that the procedure produces extremely short functional test sequences for benchmark circuits.

References

  1. S. K. Bommu, S. T. Chakradhare, and K. B. Doreswamy. 1998. Static compaction using overlapped restoration and segment pruning. In Proceedings of the International Conference on Computer-Aided Design. IEEE/ACM, 140--146. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. F. Corno, P. Prinetto, M. Rebaudengo, and M. Sonza Reorda. 1997. New static compaction techniques of test sequences for sequential circuits. In Proceedings of the European Design and Test Conference. IEEE/ACM, 37--43. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. M. Dimopoulos and P. Linardis. 2004. Efficient static compaction of test sequence sets through the application of set covering techniques. In Proceedings of the Design, Automation and Test in Europe Conference. IEEE/ACM, 194--199. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. M. S. Hsiao and S. T. Chakradhar. 1998. State relaxation based subsequence removal for fast static compaction in sequential circuits. In Proceedings of the Design Automation and Test in Europe Conference. IEEE/ACM, 577--582. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. X. Lin, W.-T. Cheng, I. Pomeranz, and S. M. Reddy. 2000. SIFAR: Static test compaction for synchronous sequential circuits based on single fault restoration. In Proceedings of the VLSI Test Symposium. IEEE, 205--212. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. P. C. Maxwell, R. C. Aitken, K. R. Kollitz, and A. C. Brown. 1996. IDDQ and AC scan: The war against unmodelled defects. In Proceedings of the International Test Conference. IEEE, 250--258. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. L. Nachman, K. K. Saluja, S. Upadhyaya, and R. Reuse. 1996. Random pattern testing for sequential circuits revisited. In Proceedings of the Fault-Tolerant Computing Symposium. IEEE, 44--52. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. P. Parvathala, K. Maneparambil, and W. Lindsay. 2002. FRITS - A microprocessor functional BIST method. In Proceedings of the International Test Conference. IEEE, 590--598. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. I. Pomeranz. 2014. Restoration based procedures with set covering heuristics for static test compaction of functional test sequences. IEEE Trans. VLSI Syst. 22, 4 (April 2014), 779--791. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. I. Pomeranz and S. M. Reddy. 1996. On static compaction of test sequences for synchronous sequential circuits. In Proceedings of the Design Automation Conference. IEEE/ACM, 215--220. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. I. Pomeranz and S. M. Reddy. 1997. Vector restoration based static compaction of test sequences for synchronous sequential circuits. In Proceedings of the International Conference on Computer Design. IEEE, 360--365. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. I. Pomeranz and S. M. Reddy. 2001. Vector replacement to improve static test compaction for synchronous sequential circuits. IEEE Trans. Comput. Aid. Des. Integr. Circuits Syst. IEEE, 336--342. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. I. Pomeranz and S. M. Reddy. 2002. Enumeration of test sequences in increasing chronological order to improve the levels of compaction achieved by vector omission. IEEE Trans. Comput. 51, 7 (July 2002), 866--872. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. J. Rearick. 2001. Too much delay fault coverage is a bad thing. In Proceedings of the International Test Conference. IEEE, 624--633. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. R. K. Roy, T. M. Niermann, J. H. Patel, J. A. Abraham, and R. A. Saleh. 1988. Compaction of ATPG-generated test sequences for sequential circuits. In Proceedings of the International Conference on Computer-Aided Design. IEEE/ACM, 382--385.Google ScholarGoogle Scholar
  16. E. M. Rudnick and J. H. Patel. 1996. Simulation-based techniques for dynamic test sequence compaction. In Proceedings of the International Conference on Computer-Aided Design. IEEE/ACM, 67--73. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. M. Sauer, S. Kupferschmid, A. Czutro, I. Polian, S. Reddy, and B. Becker. 2012. Functional test of small-delay faults using SAT and Craig interpolation. In Proceedings of the International Test Conference. IEEE, 1--8. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. J. Saxena, K. M. Butler, V. B. Jayaram, S. Kundu, N. V. Arvind, P. Sreeprakash, and M. Hachinger. 2003. A case study of IR-drop in structured at-speed testing. In Proceedings of the International Test Conference. IEEE, 1098--1104.Google ScholarGoogle Scholar
  19. S. Sde-Paz and E. Salomon. 2008. Frequency and power correlation between at-speed scan and functional tests. In Proceedings of the International Test Conference. IEEE, 1--9.Google ScholarGoogle Scholar
  20. J. G. Tong, M. Boule, and Z. Zilic. 2012. Assertion clustering for compacted test sequence generation. In Proceedings of the International Symposium on Quality Electronic Design. IEEE, 694--701.Google ScholarGoogle Scholar

Index Terms

  1. FOLD: Extreme Static Test Compaction by Folding of Functional Test Sequences

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in

        Full Access

        • Published in

          cover image ACM Transactions on Design Automation of Electronic Systems
          ACM Transactions on Design Automation of Electronic Systems  Volume 20, Issue 4
          Special Issue on Reliable, Resilient, and Robust Design of Circuits and Systems
          September 2015
          475 pages
          ISSN:1084-4309
          EISSN:1557-7309
          DOI:10.1145/2830627
          • Editor:
          • Naehyuck Chang
          Issue’s Table of Contents

          Copyright © 2015 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 28 September 2015
          • Accepted: 1 April 2015
          • Revised: 1 March 2015
          • Received: 1 December 2014
          Published in todaes Volume 20, Issue 4

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article
          • Research
          • Refereed
        • Article Metrics

          • Downloads (Last 12 months)1
          • Downloads (Last 6 weeks)0

          Other Metrics

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader