skip to main content
10.1145/2786572.2786574acmconferencesArticle/Chapter ViewAbstractPublication PagesnocsConference Proceedingsconference-collections
research-article

Unbiased Regional Congestion Aware Selection Function for NoCs

Authors Info & Claims
Published:28 September 2015Publication History

ABSTRACT

Adaptive routing in Network-on-Chip (NoC) selects paths for packets according to network state to reduce packet latency and balance network load. Existing adaptive routing schemes can degrade network performance due to their dependency on either inadequate or outdated network information. We present an adaptive routing scheme in which a router is provided adequate and timely congestion information of the network. A low-complexity routing selection function that considers regional congestion status is proposed. The selection function is unbiased as it considers the same amount of congestion information on both admissible directions. Proposed selection function achieves 18% lower packet latency than local congestion aware selection under realistic workloads. It also reduces regional congestion aware selection logic area and power overhead by 73% and 35% on an 8×8 mesh network.

References

  1. G. Ascia, V. Catania, M. Palesi, and D. Patti. Implementation and analysis of a new selection strategy for adaptive routing in networks-on-chip. Computers, IEEE Transactions on, 57(6):809--820, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. H. G. Badr and S. Podar. An optimal shortest-path routing policy for network computers with regular mesh-connected topologies. Computers, IEEE Transactions on, 38(10):1362--1371, 1989. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. M. Badr and N. E. Jerger. Synfull: synthetic traffic models capturing cache coherent behaviour. In Computer Architecture (ISCA), 2014 ACM/IEEE 41st International Symposium on, pages 109--120. IEEE, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. D. H. Bailey, E. Barszcz, J. T. Barton, D. S. Browning, R. L. Carter, L. Dagum, R. A. Fatoohi, P. O. Frederickson, T. A. Lasinski, R. S. Schreiber, et al. The nas parallel benchmarks. International Journal of High Performance Computing Applications, 5(3):63--73, 1991. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. T. E. Carlson, W. Heirman, and L. Eeckhout. Sniper: exploring the level of abstraction for scalable and accurate parallel multi-core simulation. In Proceedings of 2011 International Conference for High Performance Computing, Networking, Storage and Analysis, page 52. ACM, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. W. Dally and B. Towles. Principles and Practices of Interconnection Networks. Morgan Kaufmann Publishers Inc., San Francisco, CA, USA, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. J. Duato, S. Yalamanchili, and N. Lionel. Interconnection Networks: An Engineering Approach. Morgan Kaufmann Publishers Inc., San Francisco, CA, USA, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. F. Fazzino, M. Palesi, and D. Patti. Noxim: Network-on-chip simulator. URL: http://sourceforge.net/projects/noxim, 2008.Google ScholarGoogle Scholar
  9. P. Gratz, B. Grot, and S. W. Keckler. Regional congestion awareness for load balance in networks-on-chip. In High Performance Computer Architecture, IEEE 14th International Symposium on, pages 203--214. IEEE, 2008.Google ScholarGoogle Scholar
  10. W. Heirman, J. Dambre, D. Stroobandt, and J. Van Campenhout. Rent's rule and parallel programs: characterizing network traffic behavior. In Proceedings of the 2008 international workshop on System level interconnect prediction, pages 87--94. ACM, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. N. E. Jerger and L.-S. Peh. On-chip networks. Synthesis Lectures on Computer Architecture, 4(1):1--141, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. J. Kim, D. Park, T. Theocharides, N. Vijaykrishnan, and C. R. Das. A low latency router supporting adaptivity for on-chip interconnects. In Proceedings of the 42nd annual Design Automation Conference, pages 559--564. ACM, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. A. Kumar, P. Kundu, A. Singhx, L.-S. Peh, and N. K. Jha. A 4.6 tbits/s 3.6 ghz single-cycle noc router with a novel switch allocator in 65nm cmos. In Computer Design, 2007. ICCD 2007. 25th International Conference on, pages 63--70. IEEE, 2007.Google ScholarGoogle ScholarCross RefCross Ref
  14. S. Ma, N. E. Jerger, and Z. Wang. Dbar: an efficient routing algorithm to support multiple concurrent applications in networks-on-chip. In Computer Architecture (ISCA), 38th Annual International Symposium on, pages 413--424. IEEE, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. T. Mak, P. Cheung, K.-P. Lam, and W. Luk. Adaptive routing in network-on-chips using a dynamic-programming network. Industrial Electronics, IEEE Transactions on, 58(8):3701--3716, aug. 2011.Google ScholarGoogle Scholar
  16. J. D. Owens, W. J. Dally, R. Ho, D. J. Jayasimha, S. W. Keckler, and L.-S. Peh. Research challenges for on-chip interconnection networks. IEEE Micro, 27(5):96--108, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. L.-S. Peh and W. J. Dally. A delay model and speculative architecture for pipelined routers. In High-Performance Computer Architecture, 2001. HPCA. The Seventh International Symposium on, pages 255--266. IEEE, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. M. Ramakrishna, P. V. Gratz, and A. Sprintson. Gca: Global congestion awareness for load balance in networks-on-chip. In Networks on Chip (NoCS), 2013 Seventh IEEE/ACM International Symposium on, pages 1--8. IEEE, 2013.Google ScholarGoogle ScholarCross RefCross Ref
  19. R. S. Ramanujam and B. Lin. Destination-based adaptive routing on 2d mesh networks. In Architectures for Networking and Communications Systems (ANCS), 2010 ACM/IEEE Symposium on, pages 1--12. IEEE, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. V. Soteriou, H. Wang, and L.-S. Peh. A statistical traffic model for on-chip interconnection networks. In Modeling, Analysis, and Simulation of Computer and Telecommunication Systems, 2006. MASCOTS 2006. 14th IEEE International Symposium on, pages 104--116. IEEE, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. S. C. Woo, M. Ohara, E. Torrie, J. P. Singh, and A. Gupta. The splash-2 programs: Characterization and methodological considerations. In ACM SIGARCH Computer Architecture News, volume 23, pages 24--36. ACM, 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Unbiased Regional Congestion Aware Selection Function for NoCs

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      NOCS '15: Proceedings of the 9th International Symposium on Networks-on-Chip
      September 2015
      233 pages
      ISBN:9781450333962
      DOI:10.1145/2786572

      Copyright © 2015 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 28 September 2015

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article
      • Research
      • Refereed limited

      Acceptance Rates

      Overall Acceptance Rate14of44submissions,32%

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader