skip to main content
10.1145/2786572.2786590acmconferencesArticle/Chapter ViewAbstractPublication PagesnocsConference Proceedingsconference-collections
research-article

Highly Fault-tolerant NoC Routing with Application-aware Congestion Management

Authors Info & Claims
Published:28 September 2015Publication History

ABSTRACT

Silicon devices are becoming less and less reliable as technology moves to smaller feature sizes. As a result, digital systems are increasingly likely to experience permanent failures during their life-time. To overcome this problem, networks-on-chip (NoCs) should be designed to, not only fulfill performance requirements, but also be robust to many fault occurrences. This paper proposes a fault- and application-aware routing framework called FATE: it leverages the diversity of communication patterns in applications for highly faulty NoCs to reduce congestion during execution. To this end, FATE estimates routing demands in applications to balance traffic load among the available resources. We propose a set of novel route-enabling rules that greatly reduce the search for deadlock-free, maximally-connected routes for any faulty 2D mesh topology, by preventing early on the exploration of routing configuration options that lead eventually to unviable solutions. Our experimental results show a 33% improvement on average saturation throughput for synthetic traffic patterns, and a 59% improvement on average packet latency for SPLASH-2 benchmarks, over state-of-the-art fault-tolerant solutions. The FATE approach is also beneficial in the complete absence of faults: indeed, it outperforms prior fully-adaptive routing techniques by improving the saturation throughput by up to 33%.

References

  1. K. Aisopos, A. DeOrio, L.-S. Peh, and V. Bertacco. Ariadne: agnostic reconfiguration in a disconnected network environment. In Proc. PACT, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. G. Ascia, V. Catania, M. Palesi, and D. Patti. Implementation and analysis of a new selection strategy for adaptive routing in networks-on-chip. IEEE Trans. Computers, 57(6), 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. M. Badr and N. Jerger. SynFull: synthetic traffic models capturing cache coherent behavior. In Proc. ISCA, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. N. Barrow-Williams, C. Fensch, and S. Moore. A communication characterisation of Splash-2 and Parsec. In Proc. IISWC, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. C. Bienia, S. Kumar, J. Singh, and K. Li. The PARSEC benchmark suite: characterization and architectural implications. In Proc. PACT, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. N. Binkert et al. The gem5 simulator. ACM SIGARCH Computer Architecture News, 39(2), 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. J. Cano et al. Efficient routing in heterogeneous SoC designs with small implementation overhead. IEEE Trans. Computers, 63(2), 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. J. Cong, C. Liu, and G. Reinman. ACES: application-specific cycle elimination and splitting for deadlock-free routing on irregular network-on-chip. In Proc. DAC, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. W. Dally and C. Seitz. Deadlock-free message routing in multiprocessor interconnection networks. IEEE Trans. Computers, C-36(5), 1987. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. J. Flich et al. A survey and evaluation of topology-agnostic deterministic routing algorithms. IEEE Trans. PDS, 23(3), 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. C. Glass and L. Ni. The turn model for adaptive routing. In Proc. ISCA, 1992. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. P. Gratz, B. Grot, and S. Keckler. Regional congestion awareness for load balance in networks-on-chip. In Proc. HPCA, 2008.Google ScholarGoogle ScholarCross RefCross Ref
  13. J. Henkel et al. Reliable on-chip systems in the nano-era: lessons learnt and future trends. In Proc. DAC, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. C. Iordanou, V. Soteriou, and K. Aisopos. Hermes: architecting a top-performing fault-tolerant routing algorithm for networks-on-chips. In Proc. ICCD, 2014.Google ScholarGoogle Scholar
  15. N. Jiang et al. A detailed and flexible cycle-accurate network-on-chip simulator. In Proc. ISPASS, 2013.Google ScholarGoogle ScholarCross RefCross Ref
  16. M. Kinsy et al. Optimal and heuristic application-aware oblivious routing. In IEEE Trans. Computers, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. M. Li, Q.-A. Zeng, and W.-B. Jone. DyXY: a proximity congestion-aware deadlock-free dynamic routing method for network on chip. In Proc. DAC, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. S. Murali et al. Analysis of error recovery schemes for networks on chips. IEEE Design & Test, 22(5), 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. M. Palesi et al. Design of bandwidth aware and congestion avoiding efficient routing algorithms for networks-on-chip platforms. In Proc. NOCS, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. R. Parikh and V. Bertacco. uDIREC: unified diagnosis and reconfiguration for frugal bypass of NoC faults. In Proc. MICRO, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. P. Ren et al. Fault-tolerant routing for on-chip network without using virtual channels. In Proc. DAC, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. J. Sancho, A. Robles, and J. Duato. An effective methodology to improve the performance of the Up*/Down* routing algorithm. IEEE Trans. PDS, 15(8), 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. M. Schroeder et al. Autonet: a high-speed, self-configuring local area network using point-to-point links. IEEE Journal of Selected Areas in Communications, 9(8), 1991. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. A. Shafiee et al. Application-aware deadlock-free oblivious routing based on extended turn-model. In Proc. ICCAD, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. S. Woo et al. The SPLASH-2 programs: characterization and methodological considerations. In Proc. ISCA, 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Highly Fault-tolerant NoC Routing with Application-aware Congestion Management

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in
        • Published in

          cover image ACM Conferences
          NOCS '15: Proceedings of the 9th International Symposium on Networks-on-Chip
          September 2015
          233 pages
          ISBN:9781450333962
          DOI:10.1145/2786572

          Copyright © 2015 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 28 September 2015

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article
          • Research
          • Refereed limited

          Acceptance Rates

          Overall Acceptance Rate14of44submissions,32%

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader