skip to main content
research-article

Reliability-Aware Resource Allocation and Binding in High-Level Synthesis

Published:28 January 2016Publication History
Skip Abstract Section

Abstract

Soft error is nowadays a major reliability issue for nanoscale VLSI, and addressing it during high-level synthesis is essential to improve the efficiency of error mitigation. Motivated by the observation that for behavioral designs, especially control-flow intensive ones, variables and operations have non-uniform soft error vulnerabilities, we propose a novel reliability-aware allocation and binding technique to explore more effective soft error mitigation during high level synthesis. We first perform a comprehensive vulnerability analysis at the behavioral level by considering error propagation and masking in both control and data flows. Then the optimizations based on integer linear programming, as well as heuristic algorithm, are employed to incorporate the behavioral vulnerabilities into the register and functional unit binding phases to achieve cost-efficient error mitigation. The experimental results reveal that compared with the previous techniques which ignored behavioral vulnerabilities, the proposed approach can achieve up to 85% reliability improvement with the same amount of area budget in the RTL design.

References

  1. Alfred V. Aho, Monica S. Lam, Ravi Sethi, and Jeffrey D. Ullman. 2007. Compilers: Principles, Techniques, & Tools with Gradiance (2nd ed.). Addison-Wesley. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. S. Bergaoui, P. Vanhauwaert, and R. Leveugle. 2010. A new critical variable analysis in processor-based systems. IEEE Trans. Nuclear Science 57, 1992--1999.Google ScholarGoogle ScholarCross RefCross Ref
  3. S. Baeg, S. Wen, and R. Wong. 2009. SRAM interleaving distance selection with a soft error failure model. IEEE Trans. Nuclear Science 56, 4, 2111--2118.Google ScholarGoogle ScholarCross RefCross Ref
  4. C. Baier and J. P. Katoen. 2008. Principles of Model Checking. MIT Press. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. T. Calin, M. Nicolaidis, and R. Velazco. 1996. Upset hardened memory design for submicron CMOS technology. IEEE Trans. Nuclear Science 43, 6, 2874--2878.Google ScholarGoogle ScholarCross RefCross Ref
  6. A. Canis, J. Choi, M. Aldham, V. Zhang, A. Kammoona, T. Czajkowski, S. D. Brown, and J. H. Anderson. 2013. LegUp: An open-source high-level synthesis tool for FPGA-based processor/accelerator systems. ACM Trans. Embedded Computing Systems 13, 2, 24:1--24:27. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. L. Chen, M. Ebrahimi, and M. B. Tahoori. 2013. CEP: Correlated error propagation for hierarchical soft error analysis. Journal of Electronic Testing 29, 2, 143--158. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. L. Chen, M. Ebrahimi, and M. B. Tahoori. 2015. Reliability-aware operation chaining in high level synthesis. In Proceedings of the IEEE European Test Symposium. 1--6.Google ScholarGoogle Scholar
  9. L. Chen and M. Tahoori. 2014. Reliability-aware register binding for control-flow intensive designs. In Proceedings of the Design Automation Conference. 75:1--75:6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. R. C. Cheung. 1980. A User-Oriented Software Reliability Model. IEEE Trans. Softw. Eng. 6, 2, 118--125. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. J. Cong, Y. Fan, G. Han, Y. Lin, J. Xu, Z. Zhang, and X. Cheng. 2005. Bitwidth-aware scheduling and binding in high-level synthesis. In Proceedings of the Asia and South Pacific Design Automation Conference, Vol. 2. 856--861. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. J. Cong and K. Gururaj. 2010. Assuring application-level correctness against soft errors. In Proceedings of the International Conference on Computer-Aided Design. 150--157. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. G. De Micheli. 1994. Synthesis and Optimization of Digital Circuits. McGraw-Hill. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. A. Dixit and A. Wood. 2011. The impact of new technology on soft error rates. In Proceedings of the International Reliability Physics Symposium. 4.1--4.7.Google ScholarGoogle Scholar
  15. M. Ebrahimi, A. Evans, M. B. Tahoori, E. Costenaro, D. Alexandrescu, V. Chandra, and R. Seyyedi. 2015. Comprehensive analysis of sequential and combinational soft errors in an embedded processor. IEEE Trans. CAD 34, 10, 1586--1599.Google ScholarGoogle ScholarCross RefCross Ref
  16. M. Ebrahimi, A. Evans, M. B. Tahoori, R. Seyyedi, E. Costenaro, and D. Alexandrescu. 2014. Comprehensive analysis of alpha and neutron particle-induced soft errors in an embedded processor at nanoscales. In Proceedings of the Conference on Design, Automation & Test in Europe. 30:1--30:6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. M. Ebrahimi, R. Seyyedi, L. Chen, and M. B. Tahoori. 2015. Event-driven transient error propagation: A scalable and accurate soft error rate estimation approach. In Proceedings of the Asia and South Pacific Design Automation Conference. 743--748.Google ScholarGoogle Scholar
  18. V. Ferlet-Cavrois, L.W. Massengill, and P. Gouker. 2013. Single event transients in digital CMOS: A review. IEEE Trans. Nuclear Science 60, 1767--1790.Google ScholarGoogle ScholarCross RefCross Ref
  19. A. Filieri, C. Ghezzi, V. Grassi, and R. Mirandola. 2010. Reliability analysis of component-based systems with multiple failure modes. In Proceedings of the Conference on Component-Based Software Engineering. 1--20. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. D. D. Gajski, S. Abdi, A. Gerstlauer, and G. Schirner. 2009. Embedded System Design: Modeling, Synthesis and Verification. Springer. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. F. Gao and J. P. Hayes. 2006. Exact and heuristic approaches to input vector control for leakage power reduction. IEEE Trans. CAD 25, 11, 2564--2571. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. M. Glaß, M. Lukasiewycz, T. Streichert, C. Haubelt, and J. Teich. 2007. Reliability-aware System Synthesis. In Proceedings of the Conference on Design, Automation and Test in Europe. 409--414. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. S. Golshan, H. Kooti, and E. Bozorgzadeh. 2011. SEU-aware high-level data path synthesis and layout generation on SRAM-based FPGAs. IEEE Trans. CAD 30, 6, 829--840. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Y. Hara, H. Tomiyama, S. Honda, and H. Takada. 2009. Proposal and quantitative analysis of the CHStone benchmark program suite for practical C-based high-level synthesis. J. Information Processing 17, 242--254.Google ScholarGoogle ScholarCross RefCross Ref
  25. F. F. Hsu, Elizabeth M. Rudnick, and Janak H. Patel. 1996. Enhancing high-level control-flow for improved testability. In Proceedings of the International Conference on Computer-Aided Design. 322--328. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. E. Ibe, H. Taniguchi, Y. Yahagi, K Shimbo, and T. Toba. 2010. Impact of scaling on neutron-induced soft error in SRAMs from a 250 nm to a 22 nm design rule. IEEE Trans. Electron Devices 57, 7.Google ScholarGoogle ScholarCross RefCross Ref
  27. T. Imagawa, H. Tsutsui, H. Ochi, and T. Sato. 2013. A cost-effective selective TMR for heterogeneous coarse-grained reconfigurable architectures based on DFG-level vulnerability analysis. In Proceedings of the Conference on Design, Automation and Test in Europe. 701--706. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. L. Kruse, E. Schmidt, G. Jochens, A. Stammermann, A. Schulz, E. Macii, and W. Nebel. 2001. Estimation of lower and upper bounds on the power consumption from scheduled data flow graphs. IEEE Trans. VLSI 9, 1, 3--15. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. M. Kwiatkowska, G. Norman, and D. Parker. 2011. PRISM 4.0: Verification of Probabilistic Real-time Systems. In Proceedings of the International Conference on Computer Aided Verification. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. G. Lakshminarayana, A. Raghunathan, and N. K. Jha. 2000. Behavioral synthesis of fault secure controller datapaths based on aliasing probability analysis. IEEE Trans. Computer 49, 9, 865--885. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. C. Lattner and V. Adve. 2004. LLVM: A Compilation framework for lifelong program analysis & transformation. In Proceedings of the International Symposium on Code Generation and Optimization. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. J. Lee and A. Shrivastava. 2011. Static analysis of register file vulnerability. IEEE Trans. Comp. Aided Des. Integ. Cir. Sys. 30, 4, 607--616. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. J. Luo, L. Zhong, Y. Fei, and N. K Jha. 2004. Register binding-based RTL power management for control-flow intensive designs. IEEE Trans. CAD 23, 8, 1175--1183. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. N. N. Mahatme, N. J. Gaspard, S. Jagannathan, T. D. Loveless, B. L. Bhuva, W. H. Robinson, L. W. Massengill, S.-J. Wen, and R. Wong. 2013. Impact of supply voltage and frequency on the soft error rate of logic circuits. IEEE Trans. Nuclear Science 60, 6, 4200--4206. DOI:http://dx.doi.org/10.1109/TNS.2013.2288782Google ScholarGoogle ScholarCross RefCross Ref
  35. N. N. Mahatme, S. Jagannathan, T. D. Loveless, L. W. Massengill, B. L. Bhuva, S.-J. Wen, and R. Wong. 2011. Comparison of combinational and sequential error rates for a deep submicron process. IEEE Trans. Nuclear Science 58, 6, 2719--2725.Google ScholarGoogle ScholarCross RefCross Ref
  36. P. Meaney, S. Swaney, P. Sanda, and L. Spainhower. 2005. IBM z990 soft error detection and recovery. IEEE Trans. Device and Materials Reliability 5, 3, 419--427.Google ScholarGoogle ScholarCross RefCross Ref
  37. S. Mitra, P. Bose, E. Cheng, C.-Y. Cher, H. Cho, R. Joshi, Y. M. Kim, C. R. Lefurgy, Y. Li, K. P. Rodbell, K. Skadron, J. Stathis, and L. Szafaryn. 2014. The resilience wall: Cross-layer solution strategies. In Proceedings of the International Symposium on VLSI Technology, Systems and Application. 1--11.Google ScholarGoogle Scholar
  38. S. Mukherjee. 2008. Architecture Design for Soft Errors. Morgan Kaufmann. Google ScholarGoogle ScholarDigital LibraryDigital Library
  39. M. Rim, A. Mujumdar, R. Jain, and R. De Leone. 1994. Optimal and heuristic algorithms for solving the binding problem. IEEE Trans. VLSI 2, 2, 211--225. Google ScholarGoogle ScholarDigital LibraryDigital Library
  40. S. A. Seshia, Wenchao Li, and S. Mitra. 2007. Verification-guided soft error resilience. In Proceedings of the Conference on Design, Automation and Test in Europe. 1--6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  41. M. Shafique, S. Rehman, P. V. Aceituno, and J. Henkel. 2013. Exploiting program-level masking and error propagation for constrained reliability optimization. In Proceedings of the Design Automation Conference. 17:1--17:9. Google ScholarGoogle ScholarDigital LibraryDigital Library
  42. TFIT. 2014. http://www.iroctech.com/soft-error-tools/tfit-cell-level/.Google ScholarGoogle Scholar
  43. S. Tosun, N. Mansouri, E. Arvas, M. Kandemir, and Y. Xie. 2005. Reliability-centric high-level synthesis. In Proceedings of the Conference on Design, Automation and Test in Europe. 1258--1263. Google ScholarGoogle ScholarDigital LibraryDigital Library
  44. K. Wu and R. Karri. 2006. Fault secure datapath synthesis using hybrid time and hardware redundancy. IEEE Trans. CAD 23, 10, 1476--1485. Google ScholarGoogle ScholarDigital LibraryDigital Library
  45. Q. Zhou, M. R. Choudhury, and K. Mohanram. 2008. Tunable transient filters for soft error rate reduction in combinational circuits. In Proceedings of the European Test Symposium. 179--184. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Reliability-Aware Resource Allocation and Binding in High-Level Synthesis

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in

      Full Access

      • Published in

        cover image ACM Transactions on Design Automation of Electronic Systems
        ACM Transactions on Design Automation of Electronic Systems  Volume 21, Issue 2
        January 2016
        422 pages
        ISSN:1084-4309
        EISSN:1557-7309
        DOI:10.1145/2888405
        • Editor:
        • Naehyuck Chang
        Issue’s Table of Contents

        Copyright © 2016 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 28 January 2016
        • Accepted: 1 October 2015
        • Revised: 1 September 2015
        • Received: 1 March 2015
        Published in todaes Volume 21, Issue 2

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article
        • Research
        • Refereed

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader