skip to main content
10.1145/2847263.2847267acmconferencesArticle/Chapter ViewAbstractPublication PagesfpgaConference Proceedingsconference-collections
research-article

The Stratix™ 10 Highly Pipelined FPGA Architecture

Published:21 February 2016Publication History

ABSTRACT

This paper describes architectural enhancements in the Altera Stratix? 10 HyperFlex? FPGA architecture, fabricated in the Intel 14nm FinFET process. Stratix 10 includes ubiquitous flip-flops in the routing to enable a high degree of pipelining. In contrast to the earlier architectural exploration of pipelining in pass-transistor based architectures, the direct drive routing fabric in Stratix-style FPGAs enables an extremely low-cost pipeline register. The presence of ubiquitous flip-flops simplifies circuit retiming and improves performance. The availability of predictable retiming affects all stages of the cluster, place and route flow. Ubiquitous flip-flops require a low-cost clock network with sufficient flexibility to enable pipelining of dozens of clock domains. Different cost/performance tradeoffs in a pipelined fabric and use of a 14nm process, lead to other modifications to the routing fabric and the logic element. User modification of the design enables even higher performance, averaging 2.3X faster in a small set of designs.

References

  1. V. Betz, J. Rose, and A. Marquardt, "Architecture and CAD for Deep-Submicron FPGAs", Kluwer Academic Publishers, 1999 Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. D. Singh and S. Brown, "The Case for Registered Routing Switches in Field Programmable Gate Arrays", Proc. FPGA 2001, pp. 161--169 Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. D. Singh and S. Brown, "Integrated Retiming and Placement for Field Programmable Gate Arrays", Proc. FPGA 2002, pp. 67--76 Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. R. Deokar and S. Sapatnekar, "A Fresh Look at Retiming via Clock Skew Optimization", Proc. DAC 1995, pp. 304--309. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. A. Sharma, C. Ebeling, and S. Hauck, "PipeRoute: A Pipelining-Aware Router for Reconfigurable Architectures", IEEE TCAD, Mar. 2006, pp. 518--532 Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. C. Ebeling, D. How, D. Lewis and H. Schmit, "Stratix? 10 High Performance Routable Clock Networks", Proc. FPGA 2016 Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. W. Tsu et al, "HSRA: High-Speed, Hierarchical Synchronous Reconfigurable Array", Proc. FPGA 1999, pp. 125--134 Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. D. Cronquist, C. Fisher, M. Figueroa, P. Franklin, and C. Ebeling, "Architecture Design Of Reconfigurable Pipelined Datapaths", Conf. Advanced Research in VLSI, 1999, pp. 23--40 Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. S. Goldstein, H. Schmit, M. Budiu, S. Cadambi, M. Moe R. Taylor, "PipeRench: A Reconfigurable Architecture and Compiler", Computer, April 2000, pp. 70--77 Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. K. Eguro, "Supporting High-Performance Pipelined Computation in Commodity-Style FPGAs", PhD thesis, University of Washington, 2008 Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. D. Lewis et al, "The Stratix? Routing and Logic Architecture", Proc. FPGA 2003, pp. 12--20 Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. D. Lewis et al, "The Stratix-II? Logic and Routing Architecture", Proc. FPGA 2005, pp. 14--20 Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. D. Lewis et al, "Architectural Enhancements in Stratix-V?", Proc. FPGA 2013, pp. 147--156 Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. G. Lemieux and D. Lewis, "Circuit Design of FPGA Routing Switches", Proc. FPGA 2002, pp. 19--28 Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. B. Pedersen, "Logic Circuitry with Shared Lookup Table", US Patent 7317330Google ScholarGoogle Scholar
  16. C.-H. Jan et al, "A 14nm SoC Platform Technology Featuring 2nd Generation Tri-Gate Transistors, 70nm Gate Pitch, 52nm Metal Pitch, and 0.0499um2 SRAM Cells, Optimized for Low Power, High Performance and High Density SoC Products", Symp. VLSI, 2015, pp. T12-T13Google ScholarGoogle Scholar
  17. N. Weaver, J. Hauser, J. Wawrzynek, "The SFRA: A Corner-Turn FPGA Architecture", Proc. FPGA 2004, pp. 3--12 Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. V. Manohararajah, G. Chiu, D. Singh, and S. Brown, "Predicting Interconnect Delay for Physical Synthesis in a FPGA CAD Flow", IEEE TVLSI, Aug 2007, pp. 895--903 Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. D. Singh, V. Manohararajah, and S. Brown, "Two-stage Physical Synthesis for FPGAs", CICC 2005, pp. 171--178Google ScholarGoogle Scholar
  20. C. Leiserson and J. Saxe, "Optimizing Synchronous Systems", Symp. Foundations of Computer Science, 1981, pp 23--36 Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. C. Soviani, O. Tardieu, and S. Edwards, "Optimizing Sequential Cycles Through Shannon Decomposition and Retiming", IEEE TCAD, Mar 2007 pp. 456--467 Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. D. Lewis, B. Thomson, P. Boulton, and E. S. Lee, "Transforming Bit Serial Communication Circuits into Fast, Parallel VLSI Implementations", IEEE JSSC, April 1988, pp. 549--557Google ScholarGoogle ScholarCross RefCross Ref
  23. P. Pan, "Continuous Retiming: Algorithms and Applications", Proc. ICCD 1997, pp. 116--121 Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. W. Feng and S. Kaptanoglu, Designing Efficient Input Interconnect Blocks for LUT Clusters Using Counting and Entropy", Proc. FPGA 2007, pp. 23--30 Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. The Stratix™ 10 Highly Pipelined FPGA Architecture

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        FPGA '16: Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays
        February 2016
        298 pages
        ISBN:9781450338561
        DOI:10.1145/2847263

        Copyright © 2016 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 21 February 2016

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        FPGA '16 Paper Acceptance Rate20of111submissions,18%Overall Acceptance Rate125of627submissions,20%

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader