skip to main content
10.1145/288548.289088acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
Article
Free Access

Tight integration of combinational verification methods

Authors Info & Claims
Published:01 November 1998Publication History
First page image

References

  1. 1.C. L. Berman and L. H. Trevillyan. Functional Comparison of Logic Designs for VLSI Circuits. In Proc. Intl. Conf. on Computer-Aided Design, pages 456-459, 1989.Google ScholarGoogle ScholarCross RefCross Ref
  2. 2.D. Brand. Verification of Large Synthesized Designs. In Proc. Intl. Conf. on Computer-Aided Design, pages 534-537, 1993. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. 3.R.E. Bryant. Graph-based Algorithms for Boolean Function Manipulation. IEEE Transactions on Computers, C-35:677- 691, August 1986. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. 4.J. Gu, P. W. Purdom, j. V. Franco, and B. W. Wah. Algorithms for the Satisfiability (SAT) Problem: A Survey. In D. Du, J. Gu, and P. M. Pardalos, editors, Satisfiability Problems: Theory and Applications. American Mathematical Society, 1997.Google ScholarGoogle Scholar
  5. 5.A. Gupta and P. Ashar. Integrating a Boolean Satisfiability Checker and BDDs for Combinational Equivalence Checking. In Proc. Intl. Conf. on VLSI Design, pages 222-225, Chennai, India, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. 6.J. Jain, R. Mukherjee, and M. Fujita. Advanced Verification Techniques Based on Learning. In Proc. Design Automation Conf., pages 420-426, 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. 7.A. Kuehlmann and F. Krohm. Equivalence Checking using Cuts and Heaps. In Proc. Design Automation Conf., pages 263-268, 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. 8.W. Kunz, D. K. Pradhan, and S. Reddy. A Novel Framework for Logic Verification in a Synthesis Environment. IEEE Transactions on Computer-Aided Design of Integrated Circuits, 15(I):20-36, January 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. 9.David E. Long. BDD Manipulation Library. Public software. Carnegie Mellon University, Pittsburgh, PA, June 1993. ftp : / / emc. cs. cmu. edu/pub/bdd/bddlib, tar. Z.Google ScholarGoogle Scholar
  10. 10.Y. Matsunaga. An Efficient Equivalence Checker for Combinational Circuits. In Proc. Design Automation Conf., pages 629-634, 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. 11.R. Mu "kherjee, J. Jain, K. Takayama, M. Fujita, J. A. Abraham, and D. S. Fussell. FLOVER: Flitering Oriented Combinational Verification Approach. In Workshop Notes of International Workshop on Logic Synthesis, Tahoe City, CA, 1997.Google ScholarGoogle Scholar
  12. 12.D. K. Pradhan, D. Paul, and M. Chatterjee. VERILAT: Verification Using Logic Augmentation and Transformations. in Proc. Intl. Conf. on Computer-Aided Design, pages 88-95, 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. 13.B. Selman, H. Leveque, and D. Mitchell. A New Method for Solving Hard Satisfiability Problems. In Proc. of AAAI, pages 440--446, 1992.Google ScholarGoogle Scholar
  14. 14.E.M. Sentovich, K. J. Singh, C. Moon, H. Savoj, R. K. Brayton, and A. L. Sangiovanni-Vincentelli. Sequential Circuit Design Using Synthesis and Optimization. In Proc. intl. Conf. on Computer Design, pages 328--333, Cambridge, MA, October 1992. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. 15.C.A.J. van Eijk. Formal Methods for the Verification of Digital Circuits. PhD thesis, Eindhoven University of Technology, Dept. of Electrical Engineering, Eindhoven, Netherlands, 1997.Google ScholarGoogle Scholar

Index Terms

  1. Tight integration of combinational verification methods

          Recommendations

          Comments

          Login options

          Check if you have access through your login credentials or your institution to get full access on this article.

          Sign in
          • Published in

            cover image ACM Conferences
            ICCAD '98: Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design
            November 1998
            704 pages
            ISBN:1581130082
            DOI:10.1145/288548

            Copyright © 1998 ACM

            Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

            Publisher

            Association for Computing Machinery

            New York, NY, United States

            Publication History

            • Published: 1 November 1998

            Permissions

            Request permissions about this article.

            Request Permissions

            Check for updates

            Qualifiers

            • Article

            Acceptance Rates

            Overall Acceptance Rate457of1,762submissions,26%

            Upcoming Conference

            ICCAD '24
            IEEE/ACM International Conference on Computer-Aided Design
            October 27 - 31, 2024
            New York , NY , USA

          PDF Format

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader