skip to main content
research-article

Power, Area, and Performance Optimization of Standard Cell Memory Arrays Through Controlled Placement

Published:27 May 2016Publication History
Skip Abstract Section

Abstract

Embedded memory remains a major bottleneck in current integrated circuit design in terms of silicon area, power dissipation, and performance; however, static random access memories (SRAMs) are almost exclusively supplied by a small number of vendors through memory generators, targeted at rather generic design specifications. As an alternative, standard cell memories (SCMs) can be defined, synthesized, and placed and routed as an integral part of a given digital system, providing complete design flexibility, good energy efficiency, low-voltage operation, and even area efficiency for small memory blocks. Yet implementing an SCM block with a standard digital flow often fails to exploit the distinct and regular structure of such an array, leaving room for optimization. In this article, we present a design methodology for optimizing the physical implementation of SCM macros as part of the standard design flow. This methodology introduces controlled placement, leading to a structured, noncongested layout with close to 100% placement utilization, resulting in a smaller silicon footprint, reduced wire length, and lower power consumption compared to SCMs without controlled placement. This methodology is demonstrated on SCM macros of various sizes and aspect ratios in a state-of-the-art 28nm fully depleted silicon-on-insulator technology, and compared with equivalent macros designed with the noncontrolled, standard flow, as well as with foundry-supplied SRAM macros. The controlled SCMs provide an average 25% reduction in area as compared to noncontrolled implementations while achieving a smaller size than SRAM macros of up to 1Kbyte. Power and performance comparisons of controlled SCM blocks of a commonly found 256 × 32 (1 Kbyte) memory with foundry-provided SRAMs show greater than 65% and 10% reduction in read and write power, respectively, while providing faster access than their SRAM counterparts, despite being of an aspect ratio that is typically unfavorable for SCMs. In addition, the SCM blocks function correctly with a supply voltage as low as 0.3V, well below the lower limit of even the SRAM macros optimized for low-voltage operation. The controlled placement methodology is applied within a full-chip physical implementation flow of an OpenRISC-based test chip, providing more than 50% power reduction compared to equivalently sized compiled SRAMs under a benchmark application.

References

  1. O. Andersson, B. Mohammadi, P. Meinerzhagen, A Burg, and J. N. Rodrigues. 2013. Dual-VT 4kb sub-VT memories with < 1 pW/bit leakage in 65 nm CMOS. In Proceedings of the 39th European Solid State Circuits Conference (ESSCIRC’13). 197--200. DOI:http://dx.doi.org/10.1109/ESSCIRC.2013.6649106 Google ScholarGoogle ScholarCross RefCross Ref
  2. Luca Benini, Alberto Macii, and Massimo Poncino. 2003. Energy-aware design of embedded memories: A survey of technologies, architectures, and optimization techniques. ACM Transactions on Embedded Computing Systems 2, 1, 5--32. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. B. H. Calhoun and A. P. Chandrakasan. 2007. A 256-kb 65-nm sub-threshold SRAM design for ultra-low-voltage operation. IEEE Journal of Solid-State Circuits 42, 3, 680--688. Google ScholarGoogle ScholarCross RefCross Ref
  4. Philippe Flatresse. 2014. Process and design solutions for exploiting FD-SOI technology towards energy efficient SOCs. In Proceedings of the 2014 International Symposium on Low Power Electronics and Design (ISLPED’14). ACM, New York, NY, 127--130. DOI:http://dx.doi.org/10.1145/2627369.2631640 Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. ITRS. 2015. International Technology Roadmap for Semiconductors 2015 Edition. Available at http://www.itrs2.net/itrs-reports.html.Google ScholarGoogle Scholar
  6. Tae-Hyoung Kim, J. Liu, J. Keane, and C. H. Kim. 2007. A high-density subthreshold SRAM with data-independent bitline leakage and virtual ground replica scheme. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC’07). 330--606. DOI:http://dx.doi.org/10.1109/ISSCC.2007.373428 Google ScholarGoogle ScholarCross RefCross Ref
  7. P. Meinerzhagen, C. Roth, and A. Burg. 2010. Towards generic low-power area-efficient standard cell based memory architectures. In Proceedings of the 2010 53rd IEEE International Midwest Symposium on Circuits and Systems (MWSCAS’10). 129--132. DOI:http://dx.doi.org/10.1109/MWSCAS.2010.5548579 Google ScholarGoogle ScholarCross RefCross Ref
  8. P. Meinerzhagen, S. M. Y. Sherazi, A. Burg, and J. N. Rodrigues. 2011. Benchmarking of standard-cell based memories in the sub-VT domain in 65-nm CMOS technology. IEEE Journal on Emerging and Selected Topics in Circuits and Systems 1, 2, 173--182. DOI:http://dx.doi.org/10.1109/JETCAS.2011.2162159 Google ScholarGoogle ScholarCross RefCross Ref
  9. P. Meinerzhagen, O. Andersson, B. Mohammadi, Y. Sherazi, A. Burg, and J. Rodrigues. 2012. A 500 fw/bit 14 fj/bit-access 4kb standard-cell based sub-VT memory in 65nm CMOS. In Proceedings of the 38th European Solid State Circuits Conference (ESSCIRC’12). 321--324. DOI:http://dx.doi.org/10.1109/ESSCIRC.2012.6341319 Google ScholarGoogle ScholarCross RefCross Ref
  10. Pascal Andreas Meinerzhagen, Andrea Bonetti, Georgios Karakonstantis, Christoph Roth, Frank Kagan Gurkaynak, and Andreas Peter Burg. 2015. Refresh-free dynamic standard-cell based memories: Application to a QC-LDPC decoder. In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS’15). IEEE, Los Alamitos, CA. Google ScholarGoogle ScholarCross RefCross Ref
  11. OpenCores.org. 2015. The OpenRISC Project. Retrieved April 7, 2016, from http://opencores.org/or1k.Google ScholarGoogle Scholar
  12. N. Planes, O. Weber, V. Barral, S. Haendler, D. Noblet, D. Croain, M. Bocat, et al. 2012. 28nm FDSOI technology platform for high-speed low-voltage digital applications. In Proceedings of the 2012 Symposium on VLSI Technology (VLSIT’12). IEEE, Los Alamitos, CA, 133--134. Google ScholarGoogle ScholarCross RefCross Ref
  13. C. Senning, L. Bruderer, J. Hunziker, and A. Burg. 2014. A lattice reduction-aided MIMO channel equalizer in 90 nm CMOS achieving 720 mb/s. IEEE Transactions on Circuits and Systems I: Regular Papers 61, 6, 1860--1871. DOI:http://dx.doi.org/10.1109/TCSI.2013.2295027 Google ScholarGoogle ScholarCross RefCross Ref
  14. M. E. Sinangil, N. Verma, and A. P. Chandrakasan. 2009. A reconfigurable 8t ultra-dynamic voltage scalable (U-DVS) SRAM in 65 nm CMOS. IEEE Journal of Solid-State Circuits 44, 11, 3163--3173. DOI:http://dx.doi.org/10.1109/JSSC.2009.2032493 Google ScholarGoogle ScholarCross RefCross Ref
  15. Ivan Edward Sutherland, Robert F. Sproull, and David F. Harris. 1999. Logical Effort: Designing Fast CMOS Circuits. Morgan Kaufmann. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. A. Teman, L. Pergament, O. Cohen, and A. Fish. 2011. A 250 mV 8 kb 40 nm ultra-low power 9t supply feedback SRAM (SF-SRAM). IEEE Journal of Solid-State Circuits 46, 11, 2713--2726. Google ScholarGoogle ScholarCross RefCross Ref
  17. A. Teman, D. Rossi, P. Meinerzhagen, L. Benini, and A. Burg. 2015. Controlled placement of standard cell memory arrays for high density and low power in 28nm FD-SOI. In Proceedings of the 2015 20th Asia and South Pacific Design Automation Conference (ASP-DAC’15). 81--86. DOI:http://dx.doi.org/10.1109/ ASPDAC.2015.7058985Google ScholarGoogle Scholar
  18. A. Teman and R. Visotsky. 2014. A fast modular method for true variation-aware separatrix tracing in nanoscaled SRAMs. IEEE Transactions on Very Large Scale Integration (VLSI) Systems PP, 99, 1. DOI:http://dx.doi.org/10.1109/TVLSI.2014.2358699 Google ScholarGoogle ScholarCross RefCross Ref
  19. Naveen Verma and A. P. Chandrakasan. 2008. A 256 kb 65 nm 8t subthreshold SRAM employing sense-amplifier redundancy. IEEE Journal of Solid-State Circuits 43, 1, 141--149. Google ScholarGoogle ScholarCross RefCross Ref
  20. Yih Wang, H. J. Ahn, U. Bhattacharya, Z. Chen, T. Coan, F. Hamzaoglu, W. Hafez, et al. 2008. A 1.1 GHz 12 uA/Mb-leakage SRAM design in 65 nm ultra-low-power CMOS technology with integrated leakage reduction for mobile applications. IEEE Journal of Solid-State Circuits 43, 1, 172--179. DOI:http://dx.doi.org/10.1109/JSSC.2007.907996 Google ScholarGoogle ScholarCross RefCross Ref
  21. Bo Zhai, S. Hanson, D. Blaauw, and D. Sylvester. 2008. A variation-tolerant sub-200 mV 6-T subthreshold SRAM. IEEE Journal of Solid-State Circuits 43, 10, 2338--2348. Google ScholarGoogle ScholarCross RefCross Ref

Index Terms

  1. Power, Area, and Performance Optimization of Standard Cell Memory Arrays Through Controlled Placement

                    Recommendations

                    Comments

                    Login options

                    Check if you have access through your login credentials or your institution to get full access on this article.

                    Sign in

                    Full Access

                    • Published in

                      cover image ACM Transactions on Design Automation of Electronic Systems
                      ACM Transactions on Design Automation of Electronic Systems  Volume 21, Issue 4
                      September 2016
                      423 pages
                      ISSN:1084-4309
                      EISSN:1557-7309
                      DOI:10.1145/2939671
                      • Editor:
                      • Naehyuck Chang
                      Issue’s Table of Contents

                      Copyright © 2016 ACM

                      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

                      Publisher

                      Association for Computing Machinery

                      New York, NY, United States

                      Publication History

                      • Published: 27 May 2016
                      • Revised: 1 January 2016
                      • Accepted: 1 January 2016
                      • Received: 1 August 2015
                      Published in todaes Volume 21, Issue 4

                      Permissions

                      Request permissions about this article.

                      Request Permissions

                      Check for updates

                      Qualifiers

                      • research-article
                      • Research
                      • Refereed

                    PDF Format

                    View or Download as a PDF file.

                    PDF

                    eReader

                    View online with eReader.

                    eReader