skip to main content
10.1145/2902961.2903002acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
research-article

Design and Comparative Evaluation of a Hybrid Cache Memory at Architectural Level

Published:18 May 2016Publication History

ABSTRACT

A hybrid memory cell usually consists of a Static Random Access Memory (SRAM) and an embedded Dynamic Random Access Memory (eDRAM) cell; hybrid cells are particularly suitable for cache design. A novel hybrid cache memory scheme (that has also non-volatile elements) is initially proposed; this scheme is assessed through extensive simulation to show significant improvements in performance. Different design implementations of the hybrid cache are then proposed at architectural level and different features (such as the memory hit rate, the Instruction Per Cycle (IPC) access pattern and the memory cell access time) are also simulated at this level using benchmarks to show the advantages of the proposed scheme for use as an hybrid cache.

References

  1. J. R. Haigh, M. W. Wilkerson, J. B. Miller et al., "A low-power 2.5-GHz 90-nm level 1 cache and memory management unit," IEEE Journal of Solid-State Circuits, vol. 40, no. 5, pp. 1190--1199, 2005.Google ScholarGoogle ScholarCross RefCross Ref
  2. W. Wei, K. Namba and F. Lombardi, "Novel Designs of Embedded Hybrid Cells for High Performance Memory Circuits," Proceedings of IEEE/ACM Great Lakes Symposium on VLSI 2015, pp. 91--94, 2015. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. R.E. Matick and S.E. Schuster, "Logic-Based eDRAM: Origins andRationale for Use," IBM J. Research and Development, vol. 49, no. 1, pp. 145--165, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. X. Liang, R. Cannal, G.-Y. Wei et at., "Process Variation Tolerant 3T1D-Based Cache Architectures," 40th IEEE/ACM International Symposium on Microarchitecture 2007 (MICRO 2007), pp. 15- 26, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. B. M. Lee and G. H. Park, "Performance and energy-efficiency analysis of hybrid cache memory based on SRAM-MRAM," 2012 International SoC Design Conference, pp. 247--250, 2012.Google ScholarGoogle Scholar
  6. H. Noguchi, K. Nomura, K. Abe et al., "D-MRAM cache: Enhancing energy efficiency with 3T-1MTJ DRAM/MRAM hybrid memory," 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 1813--1818, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. H. Akinaga, H. Shima, "Resistive Random Access Memory (ReRAM) Based on Metal Oxides," Proceedings of the IEEE, pp. 2237--2251, 2010.Google ScholarGoogle ScholarCross RefCross Ref
  8. G. Dhiman, R. Ayoub and T. Rosing, "Pdram: A hybrid pram and dram main memory system," ACM/IEEE 46th Design Automation Conference (DAC), pp. 664--669, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. W. S. Yu, R. Huang, S. Xu et al., "SRAM-DRAM hybrid memory with applications to efficient register files in fine-grained multi-threading," in Proc. ISCA, pp. 247--258, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. A. Valero, S. Petit, J. Sahuquillo et al., "Design, performance, and energy consumption of eDRAM/SRAM macrocells for L1 data caches," IEEE Transactions on Computers, vol. 61, no. 9, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. J. L. Hennessy, D. Patterson, "Computer architecture: a quantitative approach (Fourth Edition)," Morgan Kaufmann, ISBN: 0123704901, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. X. Y. Liang, R. Canal, G. Y. Wei et al., "Replacing 6T SRAMs with 3T1D DRAMs in the L1 data cache to combat process variability," IEEE Micro, Vol. 28, no. 1, pp. 60--68, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Y. Zhang, D. Parikh, K. Sankaranarayanan et al., "Hotleakage: A Temperature-Aware Model of Subthreshold and Gate Leakage for Architects," technical report, Dept. of Computer Science, Uni. of Virginia, 2003.Google ScholarGoogle Scholar
  14. Standard Performance Evaluation Corporation, http://www.spec.org/cpu2000.Google ScholarGoogle Scholar

Index Terms

  1. Design and Comparative Evaluation of a Hybrid Cache Memory at Architectural Level

                Recommendations

                Comments

                Login options

                Check if you have access through your login credentials or your institution to get full access on this article.

                Sign in
                • Published in

                  cover image ACM Conferences
                  GLSVLSI '16: Proceedings of the 26th edition on Great Lakes Symposium on VLSI
                  May 2016
                  462 pages
                  ISBN:9781450342742
                  DOI:10.1145/2902961

                  Copyright © 2016 ACM

                  Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

                  Publisher

                  Association for Computing Machinery

                  New York, NY, United States

                  Publication History

                  • Published: 18 May 2016

                  Permissions

                  Request permissions about this article.

                  Request Permissions

                  Check for updates

                  Qualifiers

                  • research-article

                  Acceptance Rates

                  GLSVLSI '16 Paper Acceptance Rate50of197submissions,25%Overall Acceptance Rate312of1,156submissions,27%

                  Upcoming Conference

                  GLSVLSI '24
                  Great Lakes Symposium on VLSI 2024
                  June 12 - 14, 2024
                  Clearwater , FL , USA

                PDF Format

                View or Download as a PDF file.

                PDF

                eReader

                View online with eReader.

                eReader