ABSTRACT
A hybrid memory cell usually consists of a Static Random Access Memory (SRAM) and an embedded Dynamic Random Access Memory (eDRAM) cell; hybrid cells are particularly suitable for cache design. A novel hybrid cache memory scheme (that has also non-volatile elements) is initially proposed; this scheme is assessed through extensive simulation to show significant improvements in performance. Different design implementations of the hybrid cache are then proposed at architectural level and different features (such as the memory hit rate, the Instruction Per Cycle (IPC) access pattern and the memory cell access time) are also simulated at this level using benchmarks to show the advantages of the proposed scheme for use as an hybrid cache.
- J. R. Haigh, M. W. Wilkerson, J. B. Miller et al., "A low-power 2.5-GHz 90-nm level 1 cache and memory management unit," IEEE Journal of Solid-State Circuits, vol. 40, no. 5, pp. 1190--1199, 2005.Google ScholarCross Ref
- W. Wei, K. Namba and F. Lombardi, "Novel Designs of Embedded Hybrid Cells for High Performance Memory Circuits," Proceedings of IEEE/ACM Great Lakes Symposium on VLSI 2015, pp. 91--94, 2015. Google ScholarDigital Library
- R.E. Matick and S.E. Schuster, "Logic-Based eDRAM: Origins andRationale for Use," IBM J. Research and Development, vol. 49, no. 1, pp. 145--165, 2005. Google ScholarDigital Library
- X. Liang, R. Cannal, G.-Y. Wei et at., "Process Variation Tolerant 3T1D-Based Cache Architectures," 40th IEEE/ACM International Symposium on Microarchitecture 2007 (MICRO 2007), pp. 15- 26, 2007. Google ScholarDigital Library
- B. M. Lee and G. H. Park, "Performance and energy-efficiency analysis of hybrid cache memory based on SRAM-MRAM," 2012 International SoC Design Conference, pp. 247--250, 2012.Google Scholar
- H. Noguchi, K. Nomura, K. Abe et al., "D-MRAM cache: Enhancing energy efficiency with 3T-1MTJ DRAM/MRAM hybrid memory," 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 1813--1818, 2013. Google ScholarDigital Library
- H. Akinaga, H. Shima, "Resistive Random Access Memory (ReRAM) Based on Metal Oxides," Proceedings of the IEEE, pp. 2237--2251, 2010.Google ScholarCross Ref
- G. Dhiman, R. Ayoub and T. Rosing, "Pdram: A hybrid pram and dram main memory system," ACM/IEEE 46th Design Automation Conference (DAC), pp. 664--669, 2009. Google ScholarDigital Library
- W. S. Yu, R. Huang, S. Xu et al., "SRAM-DRAM hybrid memory with applications to efficient register files in fine-grained multi-threading," in Proc. ISCA, pp. 247--258, 2011. Google ScholarDigital Library
- A. Valero, S. Petit, J. Sahuquillo et al., "Design, performance, and energy consumption of eDRAM/SRAM macrocells for L1 data caches," IEEE Transactions on Computers, vol. 61, no. 9, 2012. Google ScholarDigital Library
- J. L. Hennessy, D. Patterson, "Computer architecture: a quantitative approach (Fourth Edition)," Morgan Kaufmann, ISBN: 0123704901, 2006. Google ScholarDigital Library
- X. Y. Liang, R. Canal, G. Y. Wei et al., "Replacing 6T SRAMs with 3T1D DRAMs in the L1 data cache to combat process variability," IEEE Micro, Vol. 28, no. 1, pp. 60--68, 2008. Google ScholarDigital Library
- Y. Zhang, D. Parikh, K. Sankaranarayanan et al., "Hotleakage: A Temperature-Aware Model of Subthreshold and Gate Leakage for Architects," technical report, Dept. of Computer Science, Uni. of Virginia, 2003.Google Scholar
- Standard Performance Evaluation Corporation, http://www.spec.org/cpu2000.Google Scholar
Index Terms
- Design and Comparative Evaluation of a Hybrid Cache Memory at Architectural Level
Recommendations
Novel Designs of Embedded Hybrid Cells for High Performance Memory Circuits
GLSVLSI '15: Proceedings of the 25th edition on Great Lakes Symposium on VLSIMemory design has radically changed in the last few years; the emergence of new technologies has further improved performance and the traditional separation of storage levels between Static Random Access Memory (SRAM) and Dynamic Random Access Memory (...
Word- and Partition-Level Write Variation Reduction for Improving Non-Volatile Cache Lifetime
Non-volatile memory technologies are among the most promising technologies for implementing the main memories and caches in future microprocessors and replacing the traditional DRAM and SRAM technologies. However, one of the most challenging design ...
Redesign the Memory Allocator for Non-Volatile Main Memory
Special Issue on Hardware and Algorithms for Learning On-a-chip and Special Issue on Alternative Computing SystemsThe non-volatile memory (NVM) has the merits of byte-addressability, fast speed, persistency and low power consumption, which make it attractive to be used as main memory. Commonly, user process dynamically acquires memory through memory allocators. ...
Comments