skip to main content
research-article

Minimizing Cost of Scheduling Tasks on Heterogeneous Multicore Embedded Systems

Authors Info & Claims
Published:19 December 2016Publication History
Skip Abstract Section

Abstract

Cost savings are very critical in modern heterogeneous computing systems, especially in embedded systems. Task scheduling plays an important role in cost savings. In this article, we tackle the problem of scheduling tasks on heterogeneous multicore embedded systems with the constraints of time and resources for minimizing the total cost, while considering the communication overhead. This problem is NP-hard and we propose several heuristic techniques—ISGG, RLD, and RLDG—to address the problem. Experimental results show that the proposed algorithms significantly outperform the existing approaches in terms of cost savings.

References

  1. Paul-Antoine Arras, Didier Fuin, Emmanuel Jeannot, Arthur Stoutchinin, and Samuel Thibault. 2015. List scheduling in embedded systems under memory constraints. International Journal of Parallel Programming 43, 6 (2015), 1103--1128. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Doruk Bozdag, Umit Catalyurek, and Fuisun Ozguner. 2006. A task duplication based bottom-up scheduling algorithm for heterogeneous environments. In Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS). 12--23. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Gang Chen, Kai Huang, and Alois Knoll. 2014. Energy optimization for real-time multiprocessor system-on-chip with optimal DVFS and DPM combination. ACM Trans. Embed. Comput. Syst. 13, 3s (March 2014), 111:1--111:21. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Robert P. Dick, David L. Rhodes, and Wayne Wolf. 1998. TGFF: Task graphs for free. In Proceedings of the 6th International Workshop on Hardware/Software Codesign. 97--101. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Yongqi Ge, Yunwei Dong, and Hongbing Zhao. 2014. Energy-efficient task scheduling and task energy consumption analysis for real-time embedded systems. In Proceedings of the Theoretical Aspects of Software Engineering Conference (TASE’14). 135--138. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Marco E. T. Gerards and Jan Kuper. 2013. Optimal DPM and DVFS for frame-based real-time systems. ACM Trans. Archit. Code Optim (TACO) 9, 4 (Jan. 2013), 41:1--41:23. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Jian-Jun Han, Man Lin, Dakai Zhu, and Laurence T. Yang. 2015. Contention-aware energy management scheme for NoC-based multicore real-time systems. IEEE Trans. Parallel Distrib. Syst. 26, 3 (2015), 691--701. Google ScholarGoogle ScholarCross RefCross Ref
  8. Jia Huang, Jan Olaf Blech, Andreas Raabe, Christian Buckl, and Alois Knoll. 2011. Analysis and optimization of fault-tolerant task scheduling on multiprocessor embedded systems. In Hardware/Software Codesign and System Synthesis (CODES+ISSS), 2011 Proceedings of the 9th International Conference on. 247--256. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Heba Khdr, Santiago Pagani, Muhammad Shafique, and Jörg Henkel. 2015. Thermal constrained resource management for mixed ILP-TLP workloads in dark silicon chips. In Proceedings of the 52nd Annual Design Automation Conference. ACM, 179. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Hyungjun Kim, Boris Grot, Paul V. Gratz, and Daniel A. Jimenez. 2014. Spatial locality speculation to reduce energy in chip-multiprocessor networks-on-chip. IEEE Trans. Comput. 63, 3 (March 2014), 543--556. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Fanxin Kong, Wang Yi, and Qingxu Deng. 2011. Energy-efficient scheduling of real-time tasks on cluster-based multicores. In Proceedings of the Design, Automation Test in Europe Conference Exhibition (DATE). 1--6.Google ScholarGoogle Scholar
  12. Joonho Kong, Sung Woo Chung, and Kevin Skadron. 2012. Recent thermal management techniques for microprocessors. ACM Comput. Surv. 44, 3 (June 2012). Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Wan Yeon Lee. 2012. Energy-efficient scheduling of periodic real-time tasks on lightly loaded multicore processors. IEEE Trans. Parallel Distrib. Syst. 23, 3 (2012), 530--537. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Young Choon Lee and Albert Y. Zomaya. 2011. Energy conscious scheduling for distributed computing systems under different operating conditions. IEEE Trans. Parallel Distrib. Syst. 22, 8 (2011), 1374--1381. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Brad Linder. 2014. Allwinner A80 octa-core chip coming in Q2, 2014. (2014). Retrieved December 6, 2016 from https://liliputing.com/2014/04/allwinner-a80-octa-core-chip-coming-q2-2014.html.Google ScholarGoogle Scholar
  16. Jing Liu, Qingfeng Zhuge, Shouzhen Gu, Jingtong Hu, Guanyu Zhu, and Edwin H. M. Sha. 2014. Minimizing system cost with efficient task assignment on heterogeneous multicore processors considering time constraint. IEEE Trans. Parallel Distrib. Syst. 25, 8 (Aug 2014), 2101--2113. Google ScholarGoogle ScholarCross RefCross Ref
  17. Wei Liu, Hongfeng Li, Wei Du, and Feiyan Shi. 2011. Energy-aware task clustering scheduling algorithm for heterogeneous clusters. In Proceedings of the 2011 IEEE/ACM International Conference on Green Computing and Communications (GreenCom). 34--37. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Jos Luis March, Julio Sahuquillo, Salvador Petit, Houcine Hassan, and Jos Duato. 2013. Power-aware scheduling with effective task migration for real-time multicore embedded systems. Concurr. Comput. Prac. Exp. 25, 14 (2013), 1987--2001. Google ScholarGoogle ScholarCross RefCross Ref
  19. Ramesh Mishra, Namrata Rastogi, Dakai Zhu, Daniel Mossé, and Rami Melhem. 2003. Energy aware scheduling for distributed real-time systems. In Proceedings of the International Parallel and Distributed Processing Symposium (IPDPS). 113--121. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Morteza Mohaqeqi, Mehdi Kargahi, and Ali Movaghar. 2014. Analytical leakage-aware thermal modeling of a real-time system. IEEE Trans. Comput. 63, 6 (2014), 1378--1392. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Meikang Qiu and Edwin H.-M. Sha. 2009. Cost minimization while satisfying hard/soft timing constraints for heterogeneous embedded systems. ACM Trans. Des. Autom. Electron. Syst. 14, 2 (April 2009). Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. Euiseong Seo, Jinkyu Jeong, Seonyeong Park, and Joonwon Lee. 2008. Energy efficient scheduling of real-time tasks on multicore processors. IEEE Trans. Parallel Distrib. Syst. 19, 11 (2008), 1540--1552. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. Muhammad Shafique, Dennis Gnad, Siddharth Garg, and Jörg Henkel. 2015. Variability-aware dark silicon management in on-chip many-core systems. In Proceedings of the 2015 Design, Automation 8 Test in Europe Conference 8 Exhibition. EDA Consortium, 387--392. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Muhammad Shafique, Benjamin Vogel, and Jorg Henkel. 2013. Self-adaptive hybrid dynamic power management for many-core systems. In Proceedings of the Design, Automation Test in Europe Conference Exhibition (DATE), 2013. 51--56. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Zili Shao, Qingfeng Zhuge, Chun Xue, and E. H.-M. Sha. 2005. Efficient assignment and scheduling for heterogeneous DSP systems. IEEE Trans. Parallel Distrib. Syst. 16, 6 (June 2005), 516--525. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. Amit Kumar Singh, Anup Das, and Akash Kumar. 2013a. Energy optimization by exploiting execution slacks in streaming applications on multiprocessor systems. In Proceedings of the 50th Annual Design Automation Conference (DAC’13). 115:1--115:7. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. Amit Kumar Singh, Muhammad Shafique, Akash Kumar, and Jörg Henkel. 2013b. Mapping on multi/many-core systems: Survey of current and emerging trends. In Proceedings of the 50th Annual Design Automation Conference. ACM, 1. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. Amit Kumar Singh, Muhammad Shafique, Akash Kumar, and Jorg Henkel. 2016. Resource and throughput aware execution trace analysis for efficient run-time mapping on MPSoCs. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 35, 1 (2016), 72--85. Google ScholarGoogle ScholarCross RefCross Ref
  29. Amit Kumar Singh, Thambipillai Srikanthan, Akash Kumar, and Wu Jigang. 2010. Communication-aware heuristics for run-time task mapping on NoC-based MPSoC platforms. J. Syst. Architect. 56, 7 (2010), 242--255. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. Qunyan Sun, Qingfeng Zhuge, Jingtong Hu, Juan Yi, and E. H.-M. Sha. 2014. Efficient grouping-based mapping and scheduling on heterogeneous cluster architectures. Comput. Elec. Eng. 40, 5 (2014), 1604--1620. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. Timon D. Ter Braak, Philip K. F. Hölzenspies, Jan Kuper, Johann L. Hurink, and Gerard J. M. Smit. 2010. Run-time spatial resource management for real-time applications on heterogeneous MPSoCs. In Proceedings of the Conference on Design, Automation and Test in Europe. European Design and Automation Association, 357--362. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. Haluk Topcuoglu, Salim Hariri, and Min you Wu. 2002. Performance-effective and low-complexity task scheduling for heterogeneous computing. IEEE Trans. Parallel Distrib. Syst. 13, 3 (2002), 260--274. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. Hwang Cheng Wang and Cheng Wen Yao. 2011. Task migration for energy conservation in real-time multi-processor embedded systems. In Proceedings of the 2011 International Conference on Cyber-Enabled Distributed Computing and Knowledge Discovery. 393--398. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. Lizhe Wang, Gregor Von Laszewski, Jai Dayal, and Fugang Wang. 2010. Towards energy aware scheduling for precedence constrained parallel tasks in a cluster with DVFS. In Proceedings of the 10th IEEE/ACM International Conference on Cluster, Cloud and Grid Computing (CCGrid’10). 368--377. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. Wayne Wolf, Ahmed Amine Jerraya, and Grant Martin. 2008. Multiprocessor system-on-chip (MPSoC) technology. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 27, 10 (2008), 1701--1713. Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. Changjiu Xian, Yung-Hsiang Lu, and Zhiyuan Li. 2007. Energy-aware scheduling for real-time multiprocessor systems with uncertain task execution time. In Proceedings of the 44th ACM/IEEE on Design Automation Conference (DAC’07). 664--669. Google ScholarGoogle ScholarDigital LibraryDigital Library
  37. Ying Yi, Wei Han, Xin Zhao, Ahmet T. Erdogan, and Tughrul Arslan. 2009. An ILP formulation for task mapping and scheduling on multi-core architectures. In Proceedings of the Design, Automation 8 Test in Europe Conference 8 Exhibition (DATE’09). 33--38. Google ScholarGoogle ScholarDigital LibraryDigital Library
  38. Yukan Zhang, Yang Ge, and Qinru Qiu. 2013. Improving charging efficiency with workload scheduling in energy harvesting embedded systems. In Proceedings of the 50th Annual Design Automation Conference. 1--8. Google ScholarGoogle ScholarDigital LibraryDigital Library
  39. Ziliang Zong, A. Manzanares, Xiaojun Ruan, and Xiao Qin. 2011. EAD and PEBD: Two energy-aware duplication scheduling algorithms for parallel tasks on homogeneous clusters. IEEE Trans. Comput. 60, 3 (March 2011), 360--374. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Minimizing Cost of Scheduling Tasks on Heterogeneous Multicore Embedded Systems

          Recommendations

          Comments

          Login options

          Check if you have access through your login credentials or your institution to get full access on this article.

          Sign in

          Full Access

          • Published in

            cover image ACM Transactions on Embedded Computing Systems
            ACM Transactions on Embedded Computing Systems  Volume 16, Issue 2
            Special Issue on LCETES 2015, Special Issue on ACSD 2015 and Special Issue on Embedded Devise Forensics and Security
            May 2017
            705 pages
            ISSN:1539-9087
            EISSN:1558-3465
            DOI:10.1145/3025020
            Issue’s Table of Contents

            Copyright © 2016 ACM

            Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

            Publisher

            Association for Computing Machinery

            New York, NY, United States

            Publication History

            • Published: 19 December 2016
            • Accepted: 1 May 2016
            • Revised: 1 February 2016
            • Received: 1 September 2015
            Published in tecs Volume 16, Issue 2

            Permissions

            Request permissions about this article.

            Request Permissions

            Check for updates

            Qualifiers

            • research-article
            • Research
            • Refereed

          PDF Format

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader