skip to main content
10.1145/2966986.2967002guideproceedingsArticle/Chapter ViewAbstractPublication PagesConference Proceedingsacm-pubtype
research-article

Approximation knob: Power Capping meets energy efficiency

Published: 07 November 2016 Publication History

Abstract

Power Capping techniques are used to restrict power consumption of computer systems to a thermally safe limit. Current many-core systems employ dynamic voltage and frequency scaling (DVFS), power gating (PG) and scheduling methods as actuators for power capping. These knobs arc oriented towards power actuation, while the need for performance and energy savings are increasing in the dark silicon era. To address this, we propose approximation (APPX) as another knob for close-looped power management, lending performance and energy efficiency to existing power capping techniques. We use approximation in a pro-active way for long-term performance-energy objectives, complementing the short-term reactive power objectives. We implement an approximation-enabled power management framework, APPEND, that dynamically chooses an application with appropriate level of approximation from a set of variable accuracy implementations. Subject to the system dynamics, our power manager chooses an effective combination of knobs - APPX, DVFS and PG, in a hierarchical way to ensure power capping with performance and energy gains. Our proposed approach yields 1.5× higher throughput, improved latency upto 5×, better performance per energy and dark silicon mitigation compared to state-of-the-art power management techniques over a set of applications ranging from high to no error resilience.

8. References

[1]
J. Ansel et al. PetaBricks: a language and compiler for algorithmic choice. ACM SIGPLAN Notices, 2009.
[2]
W. Baek et al. Green: A Framework for Supporting Energy-Conscious Programming using Controlled Approximation. In PLDI, 2010.
[3]
H. Chen et al. Dynamic server power capping for enabling data center participation in power markets. In IGOAD, 2013.
[4]
R. Cochran et al. Pack & cap: adaptive dvfs and thread packing under power caps. In MICRO, 2011.
[5]
H. Esmaeilzadeh et al. Dark silicon and the end of multicore scaling. In ISCA, 2011.
[6]
F. F. et al. Noxim: Network-on-chip simulator. URL: http://sourceforge.net/projects/noxim, 2008.
[7]
M.-H. H. et al. Dark Silicon Aware Power Management for Manycore Systems under Dynamic Workloads. In ICCD, 2014.
[8]
M. S. et al. Dark Silicon As a Challenge for Hardware/Software Co-design. In CODES+ISBS, 2014.
[9]
S. P. et al. TSP: Thermal Safe Power: Efficient Power Budgeting for many-core systems in dark silicon era. In CODES+ISSS, 2014.
[10]
M. Haghbayan et al. MapPro: Proactive Runtime Mapping for Dynamic Workloads by Quantifying Ripple Effect of Applications on NoCs. In NOCS, 2015.
[11]
H. Hoffmann et al. Dynamic knobs for responsive power-aware computing. ACM SICPLAN Notices, 2012.
[12]
S. Holmbacka et al. A task migration mechanism for distributed many-core operating systems. Journal of Supercomputing, 68 (3), 2014.
[13]
A. Kanduri et al. Dark silicon aware runtime mapping for many-core systems: A patterning approach. In ICCD, 2015.
[14]
N. Kapadia et al. VARSHA: Variation and Reliability-aware Application Scheduling with Adaptive Paralleliam in the Dark-silicon Era. In DATE, 2015.
[15]
K. Ma and X. Wang. PGCapping: Exploiting power gating for power capping and core lifetime balancing in CMPs. PACT, 2012.
[16]
S. Misailovic et al. Chisel: Reliability-and accuracy-aware optimization of approximate computational kernels. In OOPSLA, 2014.
[17]
T. Muthukaruppan et al. Hierarchical power management for asymmetric multi-core in dark silicon era. In DAC, 2013.
[18]
D. Palomino et al. Thermal optimization using adaptive approximate computing for video coding. DATE, 2016.
[19]
A. Rahmaui et al. Design and management of high-performance, reliable and thermal-aware 3D networks-on-chip, IET Circ., Dev. & Sys, 2012.
[20]
A. Rahmani et al. Dynamic power management for many-core platforms in the dark silicon era: A muLti-objective control approach. In ISLPED, 2015.
[21]
A. Rahmani et al. The Dark Side of Silicon. 2016.
[22]
S. Sidiroglou et al. Managing performance vs. accuracy trade-offs with loop perforation. In FSE, 2011.
[23]
E. Trevor et al. Sniper: Exploring the level of abstraction for scalable and accurate parallel multi-core simulations. In SC, 2011.
[24]
A. Vega et al. Crank it up or dial it down: Coordinated multiprocessor frequency and folding control. In MICRO, 2013.
[25]
L. Wang and K. Skadron Dark vs. dim silicon and near-threshold computing extended results. Univ. of Virginia, Dept of Comp. Sci Technical Report, 1, 2012.

Cited By

View all
  • (2022)QUAREM: Maximising QoE Through Adaptive Resource Management in Mobile MPSoC PlatformsACM Transactions on Embedded Computing Systems10.1145/352611621:4(1-29)Online publication date: 5-Sep-2022
  • (2021)Intelligent Management of Mobile Systems Through Computational Self-AwarenessHandbook of Research on Methodologies and Applications of Supercomputing10.4018/978-1-7998-7156-9.ch004(41-73)Online publication date: 2021
  • (2021)UBARACM Transactions on Embedded Computing Systems10.1145/344164420:3(1-25)Online publication date: 27-Mar-2021
  • Show More Cited By

Index Terms

  1. Approximation knob: Power Capping meets energy efficiency
          Index terms have been assigned to the content through auto-classification.

          Recommendations

          Comments

          Information & Contributors

          Information

          Published In

          cover image Guide Proceedings
          2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)
          Nov 2016
          946 pages

          Publisher

          IEEE Press

          Publication History

          Published: 07 November 2016

          Permissions

          Request permissions for this article.

          Qualifiers

          • Research-article

          Contributors

          Other Metrics

          Bibliometrics & Citations

          Bibliometrics

          Article Metrics

          • Downloads (Last 12 months)0
          • Downloads (Last 6 weeks)0
          Reflects downloads up to 01 Mar 2025

          Other Metrics

          Citations

          Cited By

          View all
          • (2022)QUAREM: Maximising QoE Through Adaptive Resource Management in Mobile MPSoC PlatformsACM Transactions on Embedded Computing Systems10.1145/352611621:4(1-29)Online publication date: 5-Sep-2022
          • (2021)Intelligent Management of Mobile Systems Through Computational Self-AwarenessHandbook of Research on Methodologies and Applications of Supercomputing10.4018/978-1-7998-7156-9.ch004(41-73)Online publication date: 2021
          • (2021)UBARACM Transactions on Embedded Computing Systems10.1145/344164420:3(1-25)Online publication date: 27-Mar-2021
          • (2021)On power capping and performance optimization of multithreaded applicationsConcurrency and Computation: Practice and Experience10.1002/cpe.620533:13Online publication date: 27-Jan-2021
          • (2019)Goal-Driven Autonomy for Efficient On-chip Resource Management: Transforming Objectives to Goals2019 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE.2019.8715134(1397-1402)Online publication date: Mar-2019
          • (2019)HESSLE-FREEACM Transactions on Embedded Computing Systems10.1145/335820318:5s(1-19)Online publication date: 8-Oct-2019
          • (2018)EPerf: energy-efficient execution of user-interactive event-driven applicationsProceedings of the International Conference on Compilers, Architecture and Synthesis for Embedded Systems10.5555/3283552.3283562(1-3)Online publication date: 30-Sep-2018
          • (2018)SPECTRACM SIGPLAN Notices10.1145/3296957.317319953:2(169-183)Online publication date: 19-Mar-2018
          • (2018)Approximation-aware coordinated power/performance management for heterogeneous multi-coresProceedings of the 55th Annual Design Automation Conference10.1145/3195970.3195994(1-6)Online publication date: 24-Jun-2018
          • (2018)SPECTRProceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems10.1145/3173162.3173199(169-183)Online publication date: 19-Mar-2018
          • Show More Cited By

          View Options

          View options

          Figures

          Tables

          Media

          Share

          Share

          Share this Publication link

          Share on social media