skip to main content
10.1145/2966986.2967009guideproceedingsArticle/Chapter ViewAbstractPublication PagesConference Proceedingsacm-pubtype
research-article

BoostNoC: Power efficient network-on-chip architecture for near threshold computing

Published: 07 November 2016 Publication History

Abstract

While near threshold design space provides a promising approach towards energy-efficient computing, it is plagued by sub-optimal performance. Application characteristics and hardware non-idealities of conventional architectures (optimized for the nominal voltage) prevent us from fully leveraging the potential of NTC systems. Further, the popular approach of increasing the computational core count to compensate for the performance loss severely burdens the on-chip communication fabric with an increased communication demand. In this work, we quantitatively analyze the performance bottleneck created by a conventional NoC architecture in many-core NTC systems. To reclaim the performance lost due to a sub-optimal NoC, we propose BoostNoC — a power efficient, multi-layered network-on-chip architecture. BoostNoC improves the system performance by nearly 2× over a conventional NTC system. Further, we improve the energy efficiency by 1.4× with the use of drowsy routers.

9. References

[1]
Becker, D. Open Source NoC Router RTL, August 2012.
[2]
Carlson, T. E. And Others Sniper: exploring the level of abstraction for scalable and accurate parallel multi-core simulation. In Proc. of SC (2011).
[3]
Chang, L. And Others Practical Strategies for Power-Efficient Computing Technologies. Proceedings of the IEEE 98, 2, 215–236.
[4]
Chen, H. And Others Opportunistic turbo execution in NTC: exploiting the paradigm shift in performance bottlenecks. In Proc. of DAC (2015), pp. 63:1–63:6.
[5]
Dreslinski, R. G. And Others Near-Threshold Computing: Reclaiming Moore's Law Through Energy Efficient Integrated Circuits. Proceedings of the IEEE 98, 2 (2010), 253–266.
[6]
Flautner, K. And Others Drowsy Caches: Simple Techniques for Reducing Leakage Power. In Proc. of 29th ISCA (2002), pp. 148–157.
[7]
Janidarmian, M. and others Onyx: A new heuristic bandwidth-constrained mapping of cores onto tile-based Network on Chip. IEICE Electronics Express 6, 1 (2009), 1–7.
[8]
Jiang, N. and others A detailed and flexible cycle-accurate Network-on-Chip simulator. In ISPASS (2013), pp. 86–96.
[9]
Karpuzcu, U. R. And Others Varius-ntv: A microarchitectural model to capture the increased sensitivity of manycores to process variations at near-threshold voltages. In DSN (2012), pp. 1–11.
[10]
Krimer, E. And Others Synctium: a Near-Threshold Stream Processor for Energy-Constrained Parallel Applications. IEEE Computer Architecture Letters 9, 1 (Jan 2010), 21–24.
[11]
Labs, I. The SCC Platform Overview, 24 May 2010.
[12]
Lankes, A. And Others Hierarchical NoCs for Optimized Access to Shared Memory and IO Resources. In Proc. of DSD (2009), pp. 255–262.
[13]
Mukhopadhyay, S. And Others Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies. In 2006 IEEE International SOC Conference (Sept 2006), pp. 155–159.
[14]
Paul, B. C. And Others Device optimization for ultra-low power digital sub-threshold operation. In Proc. of ISLPED (2004), pp. 96–101.
[15]
Pinckney, N. R. And Others Assessing the performance limits of parallelized near-threshold computing. In DAC (2012), pp. 1147–1152.
[16]
Sarangi, S. And Others Varius:a Model of Process Variation and Resulting Timing Errors for Microarchitects. IEEE Trans. on Semiconductor Manufacturing 21 (2008), 3–13.
[17]
Seifi, M. R., AND Eshghi, M. Clustered NOC, a suitable design for group communications in Network on Chip. Computers and Electrical Engineering 38, 1 (2012), 82—95.
[18]
Silvano, C. And Others Voltage Island Management in Near Threshold Manycore Architectures To Mitigate Dark Silicon. In DATE, Dresden, Germany, March 24–28, 2014 (2014), pp. 1–6.
[19]
Sun, C. And Others Dsent-A Tool Connecting Emerging Photonics with Electronics for Opto-Electronic Networks-on-Chip Modeling. In NOCS (2012), pp. 201–210.
[20]
Weste, N., And Harris, D. Cmos Vlsi Design: A Circuits and Systems Perspective, 4th ed. USA: Addison-Wesley Publishing Company, 2010.
[21]
Woo, S. C. And Others The SPLASH-2 programs: Characterization and Methodological Considerations. In ISCA (1995), ACM, pp. 24–36.
[22]
Zhao, W., And Cao, Y. Predictive Technology Model, June 2012.

Cited By

View all
  • (2022)HREN: A Hybrid Reliable and Energy-Efficient Network-on-Chip ArchitectureIEEE Transactions on Emerging Topics in Computing10.1109/TETC.2022.3147407(1-1)Online publication date: 2022
  • (2019)Redeeming chip-level power efficiency by collaborative management of the computation and communicationProceedings of the 24th Asia and South Pacific Design Automation Conference10.1145/3287624.3287647(376-381)Online publication date: 21-Jan-2019
  • (2019)ShuttleNoC: Power-Adaptable Communication Infrastructure for Many-Core ProcessorsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2018.285516538:8(1438-1451)Online publication date: Aug-2019
  • Show More Cited By

Index Terms

  1. BoostNoC: Power efficient network-on-chip architecture for near threshold computing
        Index terms have been assigned to the content through auto-classification.

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image Guide Proceedings
        2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)
        Nov 2016
        946 pages

        Publisher

        IEEE Press

        Publication History

        Published: 07 November 2016

        Permissions

        Request permissions for this article.

        Qualifiers

        • Research-article

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)0
        • Downloads (Last 6 weeks)0
        Reflects downloads up to 07 Mar 2025

        Other Metrics

        Citations

        Cited By

        View all
        • (2022)HREN: A Hybrid Reliable and Energy-Efficient Network-on-Chip ArchitectureIEEE Transactions on Emerging Topics in Computing10.1109/TETC.2022.3147407(1-1)Online publication date: 2022
        • (2019)Redeeming chip-level power efficiency by collaborative management of the computation and communicationProceedings of the 24th Asia and South Pacific Design Automation Conference10.1145/3287624.3287647(376-381)Online publication date: 21-Jan-2019
        • (2019)ShuttleNoC: Power-Adaptable Communication Infrastructure for Many-Core ProcessorsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2018.285516538:8(1438-1451)Online publication date: Aug-2019
        • (2018)MLNoC: A Machine Learning Based Approach to NoC Design2018 30th International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD)10.1109/CAHPC.2018.8645914(1-8)Online publication date: Sep-2018

        View Options

        View options

        Figures

        Tables

        Media

        Share

        Share

        Share this Publication link

        Share on social media