skip to main content
10.1145/2966986.2967041guideproceedingsArticle/Chapter ViewAbstractPublication PagesConference Proceedingsacm-pubtype
research-article

Fast physics-based electromigration checking for on-die power grids

Published:07 November 2016Publication History

ABSTRACT

Due to technology scaling, electromigration (EM) signoff has become increasingly difficult, mainly due to the use of inaccurate methods for EM assessment, such as the empirical Black's model. In this paper, we present a novel approach for EM checking using physics-based models of EM degradation, which effectively removes the inaccuracy, with negligible impact on run-time. Our main contribution is to extend the existing physical models for EMin metal branches to track the degradation in multi-branch interconnect trees. We also propose effective filtering and predictor-based schemes to speed up our implementation, with minimal impact on accuracy. Our results, for a number of IBM power grid benchmarks, confirm that Black's model is overly inaccurate. The lifetimes found using our physics-based approach are on average 3× longer than those based on a (calibrated) Black's model, such as currently used in industry. For the two largest IBM benchmarks (700K branches each), our runtime is comparable to that of the Black's based approach, requiring 3 hours for the largest grid.

References

  1. [1].Bebu I. and Mathew T.. Confidence intervals for limited moments and truncated moments in normal and lognormal models. Statistics & Probability Letters, 79 (3):375380, 2009.Google ScholarGoogle ScholarCross RefCross Ref
  2. [2].Black J. R.. Electromigration-A brief survey and some recent results. IEEE Trans. on Electronic devices, 16 (4):338347, Apr. 1969.Google ScholarGoogle ScholarCross RefCross Ref
  3. [3].Chatterjee S., Fawaz M., and Najm F. N.. Redundancy-Aware Electromigration Checking for Mesh Power Grids. In IEEE/ACM Int. Conf. on Comput. Aided Design, pages 540547, San Jose, CA, Nov. 2013.Google ScholarGoogle Scholar
  4. [4].Clement J.. Electromigration modeling for integrated circuit interconnect reliability analysis. IEEE Trans. Dev. Mat. Rel, 1 (1):3342, Mar 2001.Google ScholarGoogle ScholarCross RefCross Ref
  5. [5].Gan C. L., Thompson C. V., Pey K. L., and Choi W. K.. Experimental characterization and modeling of the reliability of three-terminal dual-damascene Cu interconnect trees. J. Appl. Ph.us. 94 (2): 12221228. 2003.Google ScholarGoogle ScholarCross RefCross Ref
  6. [6].Hau-Riege S. P. and Thompson C. V.. Experimental characterization and modeling of the reliability of interconnect trees. J. Appl. Plius.. 89 (1):601609. 2001.Google ScholarGoogle ScholarCross RefCross Ref
  7. [7].Huang X., Tan Y., Sukharev V., and Tan S.-D.. Physics-based Electromigration Assessment for Power Grid Networks. In ACM/EDAC/IEEE Design Automation Conf., pages 16, June 2014.Google ScholarGoogle Scholar
  8. [8].Korhonen M. A., Borgesen P., Tu K. N., and Li C.. Stress evolution due to electromigration in confined metal lines. J. Appl. Phys., 73 (8):37903799, 1993.Google ScholarGoogle ScholarCross RefCross Ref
  9. [9].Li B., Gill J., Christiansen C., Sullivan T., and McLaughlin P. S.. Impact of via-line contact on cu interconnect electromigration performance. In IEEE Int. Rel. Phys. Symp., pages 2430, April 2005.Google ScholarGoogle Scholar
  10. [10].Li D.-A., Marek-Sadowska M., and Nassif S.. A method for improving power grid resilience to electromigration-caused via failures. IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 23 (1):118130, Jan 2015.Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. [11].Lloyd J. and Kitchin J.. The electromigration failure distribution: The fine-line case. J. Appl. Phys., 69 (4): 21172127. Feb 1991.Google ScholarGoogle ScholarCross RefCross Ref
  12. [12].Miller J. E., Freund I. R. and Johnson R.. Probability and Statistics for Engineers. Prentice-Hall Inc., 2010.Google ScholarGoogle Scholar
  13. [13].Nassif S. R.. Power grid analysis benchmarks. In ASP-DAC, pages 376381. 2008.Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. [14].Schiesser W.. Computational Mathematics in Engineering and Applied Science ODEs, DAEs, and PDEs. Taylor & Francis, 1993.Google ScholarGoogle Scholar
  15. [15].Sukharev V., Kteyan A., and Huang X.. Postvoiding stress evolution in confined metal lines. IEEE Transactions on Device and Materials Reliability, 16 (1):5060, March 2016.Google ScholarGoogle ScholarCross RefCross Ref
  16. [16].Ting L., May J., Hunter W., and McPherson J.. AC electromigration characterization and modeling of multilayered interconnects. In IEEE Int. Rel. Phys. Symp., pages 311316, March 1993.Google ScholarGoogle Scholar
  17. [17].Weiss N., Holmes P., and Hardy M.. A Course in Probability. Pearson Addison Wesley 2005.Google ScholarGoogle Scholar

Index Terms

  1. Fast physics-based electromigration checking for on-die power grids
            Index terms have been assigned to the content through auto-classification.

            Recommendations

            Comments

            Login options

            Check if you have access through your login credentials or your institution to get full access on this article.

            Sign in
            • Published in

              cover image Guide Proceedings
              2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)
              Nov 2016
              946 pages

              Copyright © 2016

              Publisher

              IEEE Press

              Publication History

              • Published: 7 November 2016

              Permissions

              Request permissions about this article.

              Request Permissions

              Qualifiers

              • research-article