skip to main content
research-article
Public Access

Trading Accuracy for Energy in Stochastic Circuit Design

Published: 20 April 2017 Publication History

Abstract

As we approach the limits of traditional Moore’s-Law scaling, alternative computing techniques that consume energy more efficiently become attractive. Stochastic computing (SC), as a re-emerging computing technique, is a low-cost and error-tolerant alternative to conventional binary circuits in several important applications such as image processing and communications. SC allows a natural accuracy-energy tradeoff that has been exploited in the past. This article presents an accuracy-energy tradeoff technique for SC circuits that reduces their energy consumption with virtually no accuracy loss. To this end, we employ voltage or frequency scaling, which normally reduce energy consumption at the cost of timing errors. Then we show that due to their inherent error tolerance, SC circuits operate satisfactorily without significant accuracy loss even with aggressive scaling. This significantly improves their energy efficiency. In contrast, conventional binary circuits quickly fail as the supply voltage decreases. To find the most energy-efficient operating point of an SC circuit, we propose an error estimation method that allows us to quickly explore the circuit’s design space. The error estimation method is based on Markov chain and least-squares regression. Furthermore, we investigate opportunities to optimize SC circuits under such aggressive scaling. We find that logical and physical design techniques can be combined to significantly expand the already-powerful accuracy-energy tradeoff possibilities of SC. In particular, we demonstrate that careful adjustment of path delays can lead to significant error reduction under voltage and frequency scaling. We perform buffer insertion and route detouring to achieve more balanced path delays. These techniques differ from conventional path-balancing techniques whose goal is to minimize power consumption by resizing the non-critical paths. The goal of our path-balancing approach is to increase error cancellation chances in voltage-/frequency-scaled SC circuits. Our circuit optimization comprehends the tradeoff between power overheads due to inserted buffers and wires versus the energy reduction from supply voltage downscaling enabled by more balanced path delays. Simulation results show that our optimized SC circuits can tolerate aggressive voltage scaling with no significant signal-to-noise ratio (SNR) degradation. In one example, a 40% supply voltage reduction (1V to 0.6V) on the SC circuit leads to 66% energy saving (20.7pJ to 6.9pJ) and makes it more efficient than its conventional binary counterpart. In the same example, a 100% frequency boosting (400ps to 200ps) of the optimized circuits leads to no significant SNR degradation. We also show that process variation and temperature variation have limited impact on optimized SC circuits. The error change is less than 5% when temperature changes by 100°C or process condition changes from worst case to best case.

References

[1]
A. M. Aguiar and S. P. Khatri. 2015. Exploring the viability of stochastic computing. In Proc. ICCD. 420--423.
[2]
A. Alaghi, W.-T. Chan, J. P. Hayes, A. B. Kahng, and J. Li. 2015. Optimizing stochastic circuits for accuracy-energy tradeoffs. In Proc. ICCAD. 178--185.
[3]
A. Alaghi and J. P. Hayes. 2012. A spectral transform approach to stochastic circuits. In Proc. ICCD. 315--321.
[4]
A. Alaghi and J. P. Hayes. 2013. Exploiting correlation in stochastic circuit design. In Proc. ICCD. 39--46.
[5]
A. Alaghi and J. P. Hayes. 2014. Fast and accurate computation using stochastic circuits. In Proc. DATE. 76:1--76:4.
[6]
A. Alaghi and J. P. Hayes. 2015. STRAUSS: Spectral transform use in stochastic circuit synthesis. IEEE Trans. CAD 34, 11 (2015), 1770--1783.
[7]
A. Alaghi, C. Li, and J. P. Hayes. 2013. Stochastic circuits for real-time image-processing applications. In Proc. DAC. 136:1--136:6.
[8]
B. D. Brown and H. C. Card. 2001. Stochastic neural computation. I. Computational elements. IEEE Trans. Comput. 50, 9 (2001), 891--905.
[9]
W. P. Burleson, M. Ciesielski, F. Klass, and W. Liu. 1998. Wave-pipelining: A tutorial and research survey. IEEE Trans. VLSI 6, 3 (1998), 464--474.
[10]
Cadence. 2011. Cadence NC-Verilog User’s Manual. Retrieved from http://www.cadence.com/.
[11]
V. Canals, A. Morro, A. Oliver, M. L. Alomar, and J. L. Rosselló. 2016. A new stochastic computing methodology for efficient neural network implementation. IEEE Trans. Neur. Netw. Learn. Syst. 27, 3 (2016), 551--564.
[12]
Canon. 2002. Canon Image Test Set. Retrieved from http://www.cipr.rpi.edu/resource/stills/canon.html.
[13]
T.-B. Chan and A. B. Kahng. 2012. Tunable sensors for process-aware voltage scaling. In Proc. ICCAD. 7--14.
[14]
M.-J. Chen, C.-C. Su, D.-K. Kwon, L. K. Cormack, and A. C. Bovik. 2013. Full-reference quality assessment of stereopairs accounting for rivalry. Sign. Process.: Image Commun. 28, 9 (2013), 1143--1155.
[15]
T. H. Chen, A. Alaghi, and J. P. Hayes. 2013. Behavior of stochastic circuits under severe error conditions. Inform. Technol. 56, 4 (2013), 182--191.
[16]
T. H. Chen and J. P. Hayes. 2015. Equivalence among stochastic logic circuits and its application. In Proc. DAC. 131:1--131:6.
[17]
V. K. Chippa, S. Venkataramani, K. Roy, and A. Raghunathan. 2014. StoRM: A stochastic recognition and mining processor. In Proc. ISLPED. 39--44.
[18]
C. C. N. Chu and D. F. Wong. 1999. A quadratic programming approach to simultaneous buffer insertion/sizing and wire sizing. IEEE Trans. VLSI 18, 6 (1999), 787--798.
[19]
H. Chun, Y. Yang, and T. Lehmann. 2014. Safety ensuring retinal prosthesis with precise charge balance and low power consumption. IEEE Trans. Biomed. Circ. Syst. 8, 1 (2014), 108--118.
[20]
D. Ernst, N. S. Kim, S. Das, S. Pant, R. Rao, C. Ziesler, T. Pham, D. Blaauw, T. Austin, K. Flautner, and T. Mudge. 2003. Razor: A low-power pipeline based on circuit-level timing speculation. In Proc. MICRO. 7--18.
[21]
D. Fick, G. Kim, A. Wang, D. Blaauw, and D. Sylvester. 2014. Mixed-signal stochastic computation demonstrated in an image sensor with integrated 2d edge detection and noise filtering. In Proc. CICC. 1--4.
[22]
B. R. Gaines. 1969. Stochastic computing systems. In Advances in Information Systems Science, 2 (1969), 37--172.
[23]
V. C. Gaudet and A. C. Rapley. 2003. Iterative decoding using stochastic computation. Electronics Letters 39, 3 (2003), 299--301.
[24]
C. M. Grinstead and J. L. Snell. 2003. Introduction to Probability (2nd ed.). American Math. Soc. ISBN: 978-1886529236.
[25]
W. J. Gross, V. C. Gaudet, and A. Milner. 2005. Stochastic implementation of LDPC decoders. In Proc. IEEE Asilomar Conf. Signals, Systems and Computers. 713--717.
[26]
S. Gupta and K. Gopalakrishnan. 2014. Revisiting stochastic computation: Approximate estimation of machine learning kernels. In Proc. Workshop on Approximate Computing Across the System Stack. 1--2.
[27]
K. Han, A. B. Kahng, J. Lee, J. Li, and S. Nath. 2015. A global-local optimization framework for simultaneous multi-mode multi-corner skew variation reduction. In Proc. DAC. 26:1--26:6.
[28]
K. He, A. Gerstlauer, and M. Orshansky. 2012. Low-energy signal processing using circuit-level timing-error acceptance. In Proc. Intl. Conf. on IC Design and Technology. 1--4.
[29]
R. Hegde and N. R. Shanbhag. 2001. Soft digital signal processing. IEEE Trans. VLSI 9, 6 (2001), 813--823.
[30]
IBM. 2013. CPLEX Optimizer. Retrieved from http://www-01.ibm.com/software/commerce/optimization/cplex-optimizer/.
[31]
P. Jeavons, D. A. Cohen, and J. Shawe-Taylor. 1994. Generating binary sequences for stochastic computing. IEEE Trans. Inform. Theory 40, 3 (1994), 716--720.
[32]
A. B. Kahng, S. Kang, R. Kumar, and J. Sartori. 2010. Slack redistribution for graceful degradation under voltage overscaling. Proc. ASP-DAC (2010), 825--831.
[33]
Y. C. Kim and M. A. Shanblatt. 1995. Architecture and statistical model of a pulse-mode digital multilayer neural network. IEEE Trans. Neur. Netw. 6, 5 (1995), 1109--1118.
[34]
Kodak. 1993. Kodak Image Test Set. Retrieved from http://www.cipr.rpi.edu/resource/stills/kodak.html.
[35]
X. R. Lee, C. L. Chen, H. C. Chang, and C. Y. Lee. 2015. A 7.92 Gb/s 437.2 mW stochastic LDPC decoder chip for IEEE 802.15.3c applications. IEEE Trans. Circ. Syst. I: Regul. Pap. 62, 2 (2015), 507--516.
[36]
Y. Lee, S. Bang, I. Lee, Y. Kim, G. Kim, M. H. Ghaed, P. Pannuto, P. Dutta, D. Sylvester, and D. Blaauw. 2013. A modular 1mm3die-stacked sensing platform with optical communication and multi-modal energy harvesting. IEEE J. Solid-State Circ. 48, 1 (2013), 229--243.
[37]
P. Li and D. J. Lilja. 2011. Using stochastic computing to implement digital image processing algorithms. In Proc. ICCD. 154--161.
[38]
G. G. Lorentz. 1986. Bernstein Polynomials. AMS Chelsea Publishing.
[39]
MathWorks. 2013. MATLAB and Statistics Toolbox Release. 2012b. MathWorks, Inc., Natick, Massachusetts.
[40]
B. Moons and M. Verhelst. 2014. Energy-efficiency and accuracy of stochastic computing circuits in emerging technologies. In IEEE J. Emerg. Select. Top. Circ.Syst. 475--486.
[41]
A. Morro, V. Canals, A. Oliver, M. L. Alomar, and J. L. Rossello. 2015. Ultra-fast data-mining hardware architecture based on stochastic computing. In PLoS ONE, Vol. 10.
[42]
M. H. Najafi, D. J. Lilja, M. Riedel, and K. Bazargan. 2016. Polysynchronous stochastic circuits. In Proc. ASP-DAC. 492--498.
[43]
M. Pedram. 1994. Power estimation and optimization at the logic level. J. High Speed Electron. Syst. 5, 2 (1994), 179--202.
[44]
I. Perez-Andrade, X. Zuo, R. G. Maunder, B. M. Al-Hashimi, and L. Hanzo. 2013. Analysis of voltage- and clock-scaling-induced timing errors in stochastic LDPC decoders. In Proc. IEEE Wireless Communications and Networking Conf. 4293--4298.
[45]
W. J. Poppelbaum, C. Afuso, and J. W. Esch. 1967. Stochastic computing elements and systems. In Proc. AFIPS Fall Joint Computer Conf. 635--644.
[46]
W. Qian. 2011. Digital yet Deliberately Random: Synthesizing Logical Computation on Stochastic Bit Streams. Ph.D. Dissertation. University of Minnesota.
[47]
W. Qian and M. D. Riedel. 2008. The synthesis of robust polynomial arithmetic with stochastic logic. In Proc. DAC. 648--653.
[48]
W. Qian, X. Li, M. D. Riedel, K. Bazargan, and D. J. Lilja. 2009. The synthesis of combinational logic to generate probabilities. In Proc. ICCAD. 367--374.
[49]
W. Qian, X. Li, M. D. Riedel, K. Bazargan, and D. J. Lilja. 2011. An architecture for fault-tolerant computation with stochastic logic. IEEE Trans. Comput. 60, 1 (2011), 93--105.
[50]
N. Saraf, K. Bazargan, D. J. Lilja, and M. D. Riedel. 2013. Stochastic functions using sequential logic. In Proc. ICCD. 507--510.
[51]
J. Sartori, J. Sloan, and R. Kumar. 2011. Stochastic computing: Embracing errors in architecture and design of processors and applications. In Proc. Intl. Conf. Compilers, Architectures and Synthesis for Embedded Systems. 135--144.
[52]
N. R. Shanbhag, R. A. Abdallah, R. Kumar, and D. L. Jones. 2010. Stochastic computation. In Proc. DAC. 859--864.
[53]
Synopsys. 2013a. Synopsys Design Compiler User’s Manual. Retrieved from http://www.synopsys.com/.
[54]
Synopsys. 2013b. Synopsys IC Compiler User Guide. Retrieved from http://www.synopsys.com/.
[55]
Synopsys. 2013c. Synopsys PrimeTime User’s Manual. Retrieved from http://www.synopsys.com/.
[56]
Synopsys. 2014. Synopsys SiliconSmart User’s Manual. Retrieved from http://www.synopsys.com/.
[57]
K. Tiri and I. Verbauwhede. 2006. A digital design flow for secure integrated circuits. IEEE Trans. CAD 25, 7 (2006), 1197--1208.
[58]
Z. Wang, N. Saraf, K. Bazargan, and A. Scheel. 2015. Randomness meets feedback: Stochastic implementation of logistic map dynamical system. In Proc. DAC. 132:1--132:7.
[59]
Z. Wang, E. P. Simoncelli, and A. C. Bovik. 2003. Multi-scale structural similarity for image quality assessment. In Proc. IEEE Asilomar Conf. on Signals, Systems and Computers. 9--12.

Cited By

View all
  • (2023)Noise-Shaping Binary-to-Stochastic Converters for Reduced-Length Bit-StreamsIEEE Transactions on Emerging Topics in Computing10.1109/TETC.2023.329951611:4(1002-1017)Online publication date: Oct-2023
  • (2023)Digital Implementation of Radial Basis Function Neural Networks Based on Stochastic ComputingIEEE Journal on Emerging and Selected Topics in Circuits and Systems10.1109/JETCAS.2022.323170813:1(257-269)Online publication date: Mar-2023
  • (2023)Design Of Low Power & High-Speed Multiway Merge Sorting Networks For Median Filter2023 International Conference on Bio Signals, Images, and Instrumentation (ICBSII)10.1109/ICBSII58188.2023.10181059(1-5)Online publication date: 16-Mar-2023
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Journal on Emerging Technologies in Computing Systems
ACM Journal on Emerging Technologies in Computing Systems  Volume 13, Issue 3
Special Issue on Hardware and Algorithms for Learning On-a-chip and Special Issue on Alternative Computing Systems
July 2017
418 pages
ISSN:1550-4832
EISSN:1550-4840
DOI:10.1145/3051701
  • Editor:
  • Yuan Xie
Issue’s Table of Contents
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Publisher

Association for Computing Machinery

New York, NY, United States

Journal Family

Publication History

Published: 20 April 2017
Accepted: 01 August 2016
Revised: 01 June 2016
Received: 01 December 2015
Published in JETC Volume 13, Issue 3

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. Stochastic computing
  2. energy reduction
  3. error modeling

Qualifiers

  • Research-article
  • Research
  • Refereed

Funding Sources

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)68
  • Downloads (Last 6 weeks)19
Reflects downloads up to 20 Jan 2025

Other Metrics

Citations

Cited By

View all
  • (2023)Noise-Shaping Binary-to-Stochastic Converters for Reduced-Length Bit-StreamsIEEE Transactions on Emerging Topics in Computing10.1109/TETC.2023.329951611:4(1002-1017)Online publication date: Oct-2023
  • (2023)Digital Implementation of Radial Basis Function Neural Networks Based on Stochastic ComputingIEEE Journal on Emerging and Selected Topics in Circuits and Systems10.1109/JETCAS.2022.323170813:1(257-269)Online publication date: Mar-2023
  • (2023)Design Of Low Power & High-Speed Multiway Merge Sorting Networks For Median Filter2023 International Conference on Bio Signals, Images, and Instrumentation (ICBSII)10.1109/ICBSII58188.2023.10181059(1-5)Online publication date: 16-Mar-2023
  • (2022)On the Impact of Hardware Timing Errors on Stochastic Computing based Neural Networks2022 IEEE European Test Symposium (ETS)10.1109/ETS54262.2022.9810429(1-6)Online publication date: 23-May-2022
  • (2022)Remodelling correlation: A fault resilient technique of correlation sensitive stochastic designsArray10.1016/j.array.2022.10021915(100219)Online publication date: Sep-2022
  • (2018)Low-Cost Sorting Network Circuits Using Unary ProcessingIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2018.282230026:8(1471-1480)Online publication date: Aug-2018
  • (2017)Noise tolerant probabilistic logic for statistical pattern recognition applicationsIntegrated Computer-Aided Engineering10.3233/ICA-17054924:4(351-365)Online publication date: 5-Sep-2017
  • (2017)An Overview of Time-Based Computing with Stochastic ConstructsIEEE Micro10.1109/MM.2017.424134537:6(62-71)Online publication date: Nov-2017
  • (2017)Power and Area Efficient Sorting Networks Using Unary Processing2017 IEEE International Conference on Computer Design (ICCD)10.1109/ICCD.2017.27(125-128)Online publication date: Nov-2017
  • (undefined)Remodelling Correlation: A Fault Resilient Technique of Correlation Sensitive Stochastic DesignsSSRN Electronic Journal10.2139/ssrn.4127693

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Login options

Full Access

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media