skip to main content
10.1145/2994133.2994135acmotherconferencesArticle/Chapter ViewAbstractPublication PagesmicroConference Proceedingsconference-collections
research-article

Thermal-Aware Adaptive Fault-Tolerant Routing for Hybrid Photonic-Electronic NoC

Authors Info & Claims
Published:15 October 2016Publication History

ABSTRACT

Microring resonators, key components in on-chip photonic networks, are extremely sensitive to thermal variations, resulting in high failure rate optical transmission. Recently, hybrid photonic-electronic networks-on-chip (HPENoCs) have become popular because they exploit both electrical and photonic interconnects to satisfy stringent bandwidth, latency and energy demands of future chip multiprocessors (CMPs). Traditionally, power-hungry trimming methods using metal heaters are applied to stabilize microring resonant wavelengths. In this work, we propose a thermal-aware fault-tolerant routing technique (TAFT) that exploits the dual path diversity of HPENoCs to perform adaptive routing, given on-chip thermal conditions. TAFT achieves bit error rate (BER) of 10-11, exceeding required for reliable optical transmission (10-9); TAFT further guarantees connectivity of 99.98%. Compared to conventional power trimming, TAFT achieves 30% power efficiency, while it incurs 17% throughput overhead and only 2% latency overhead in real system benchmarks.

References

  1. S. Bahirat and S. Pasricha. Meteor: hybrid photonic ring-mesh network-on-chip for multicore architectures. ACM Transactions on Embedded Computing Systems (TECS), 13(3s):116, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. C. Bienia and K. Li. Benchmarking modern multiprocessors. Princeton University USA, 2011.Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. N. Binkert, B. Beckmann, G. Black, S. K. Reinhardt, A. Saidi, A. Basu, J. Hestness, D. R. Hower, T. Krishna, S. Sardashti, et al. The gem5 simulator. ACM SIGARCH Computer Architecture News, 39(2):1--7, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. S.-W. Chen, M.-H. Chang, W.-C. Hsieh, W. Hwang, et al. Fully on-chip temperature, process, and voltage sensors. In ISCAS, pages 897--900, 2010.Google ScholarGoogle ScholarCross RefCross Ref
  5. W. J. Dally and B. P. Towles. Principles and practices of interconnection networks. Elsevier, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. N. Jiang, D. U. Becker, G. Michelogiannakis, J. Balfour, B. Towles, D. E. Shaw, J.-H. Kim, and W. J. Dally. A detailed and flexible cycle-accurate network-on-chip simulator. In Performance Analysis of Systems and Software (ISPASS), 2013 IEEE International Symposium on, pages 86--96. IEEE, 2013.Google ScholarGoogle ScholarCross RefCross Ref
  7. Z. Li, A. Qouneh, M. Joshi, W. Zhang, X. Fu, and T. Li. Aurora: A cross-layer solution for thermally resilient photonic network-on-chip. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, 23(1):170--183, 2015.Google ScholarGoogle Scholar
  8. S. Manipatruni, R. K. Dokania, B. Schmidt, N. Sherwood-Droz, C. B. Poitras, A. B. Apsel, and M. Lipson. Wide temperature range operation of micrometer-scale silicon electro-optic modulators. Optics letters, 33(19):2185--2187, 2008.Google ScholarGoogle ScholarCross RefCross Ref
  9. C. Nitta, M. Farrens, and V. Akella. Addressing system-level trimming issues in on-chip nanophotonic networks. In High Performance Computer Architecture (HPCA), 2011 IEEE 17th International Symposium on, pages 122--131. IEEE, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Y. Pan, J. Kim, and G. Memik. Flexishare: Channel sharing for an energy-efficient nanophotonic crossbar. In High Performance Computer Architecture (HPCA), 2010 IEEE 16th International Symposium on, pages 1--12. IEEE, 2010.Google ScholarGoogle ScholarCross RefCross Ref
  11. Y. Pan, P. Kumar, J. Kim, G. Memik, Y. Zhang, and A. Choudhary. Firefly: illuminating future network-on-chip with nanophotonics. In ACM SIGARCH Computer Architecture News, volume 37, pages 429--440. ACM, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. J. Pang, C. Dwyer, and A. R. Lebeck. Exploiting emerging technologies for nanoscale photonic networks-on-chip. In Proceedings of the Sixth International Workshop on Network on Chip Architectures, pages 53--58. ACM, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. M. Valad Beigi and G. Memik. Min: a power efficient mechanism to mitigate the impact of process variations on nanophotonic networks. In Proceedings of the 2014 international symposium on Low power electronics and design, pages 299--302. ACM, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. D. Vantrease, R. Schreiber, M. Monchiero, M. McLaren, N. P. Jouppi, M. Fiorentino, A. Davis, N. Binkert, R. G. Beausoleil, and J. H. Ahn. Corona: System implications of emerging nanophotonic technology. In ACM SIGARCH Computer Architecture News, volume 36, pages 153--164. IEEE Computer Society, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. S. C. Woo, M. Ohara, E. Torrie, J. P. Singh, and A. Gupta. The splash-2 programs: Characterization and methodological considerations. In ACM SIGARCH computer architecture news, volume 23, pages 24--36. ACM, 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. D. Xiang, Y. Zhang, S. Shan, and Y. Xu. A fault-tolerant routing algorithm design for on-chip optical networks. In Reliable Distributed Systems (SRDS), 2013 IEEE 32nd International Symposium on, pages 1--9. IEEE, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Y. Xie, M. Nikdast, J. Xu, W. Zhang, Q. Li, X. Wu, Y. Ye, X. Wang, and W. Liu. Crosstalk noise and bit error rate analysis for optical network-on-chip. In Proceedings of the 47th Design Automation Conference, pages 657--660. ACM, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Y. Xu, J. Yang, and R. Melhem. Bandarb: mitigating the effects of thermal and process variations in silicon-photonic network. In Proceedings of the 12th ACM International Conference on Computing Frontiers, page 30. ACM, 2015. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. M. Yang and P. Ampadu. Energy-efficient power trimming for reliable nanophotonic noc microring resonators. In 2016 IEEE International Symposium on Circuits and Systems (ISCAS), pages 1682--1685. IEEE, 2016.Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. T. Zhang, J. L. Abellán, A. Joshi, and A. K. Coskun. Thermal management of manycore systems with silicon-photonic networks. In Design, Automation and Test in Europe Conference and Exhibition (DATE), 2014, pages 1--6. IEEE, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library

Recommendations

Comments

Login options

Check if you have access through your login credentials or your institution to get full access on this article.

Sign in
  • Published in

    cover image ACM Other conferences
    NoCArc '16: Proceedings of the 9th International Workshop on Network on Chip Architectures
    October 2016
    56 pages
    ISBN:9781450347921
    DOI:10.1145/2994133

    Copyright © 2016 ACM

    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    • Published: 15 October 2016

    Permissions

    Request permissions about this article.

    Request Permissions

    Check for updates

    Qualifiers

    • research-article
    • Research
    • Refereed limited

    Acceptance Rates

    NoCArc '16 Paper Acceptance Rate8of20submissions,40%Overall Acceptance Rate46of122submissions,38%

PDF Format

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader