skip to main content
research-article

Optimal Scheduling and Allocation for IC Design Management and Cost Reduction

Published:09 June 2017Publication History
Skip Abstract Section

Abstract

A large semiconductor product company spends hundreds of millions of dollars each year on design infrastructure to meet tapeout schedules for multiple concurrent projects. Resources (servers, electronic design automation tool licenses, engineers, and so on) are limited and must be shared -- and the cost per day of schedule slip can be enormous. Co-constraints between resource types (e.g., one license per every two cores (threads)) and dedicated versus shareable resource pools make scheduling and allocation hard. In this article, we formulate two mixed integer-linear programs for optimal multi-project, multi-resource allocation with task precedence and resource co-constraints. Application to a real-world three-project scheduling problem extracted from a leading-edge design center of anonymized Company X shows substantial compute and license costs savings. Compared to the product company, our solution shows that the makespan of schedule of all projects can be reduced by seven days, which not only saves ∼ 2.7% of annual labor and infrastructure costs but also enhances market competitiveness. We also demonstrate the capability of scheduling over two dozen chip development projects at the design center level, subject to resource and datacenter capacity limits as well as per-project penalty functions for schedule slips. The design center ended up purchasing 600 additional servers, whereas our solution demonstrates that the schedule can be met without having to purchase any additional servers. Application to a four-project scheduling problem extracted from a leading-edge design center in a non-US location shows availability of up to ∼ 37% headcount reduction during a half-year schedule for just one type of chip design activity.

References

  1. P. Agrawal, B. Chatterjee, A. B. Kahng, P. K. Myana, and S. Nath. 2015. Optimal multi-tapeout project scheduling for enterprise-scale design management and cost reduction. Work-in-Progress, DAC.Google ScholarGoogle Scholar
  2. M. Ayala and C. Artigues. 2010. On Integer Linear Programming Formulations for the Resource-Constrained Modulo Scheduling Problem, Rapport LAAS. Technical Report 10393.Google ScholarGoogle Scholar
  3. P. Baptiste and S. Demassey. 2004. Tight LP bounds for resource constrained project scheduling. Operations Research Spectrum 26, 251--262. Google ScholarGoogle ScholarCross RefCross Ref
  4. D. Bienstock and M. Zuckerberg. 2009. A new LP algorithm for precedence constrained production scheduling. Optimization Online 1--33.Google ScholarGoogle Scholar
  5. A. Bonfietti, M. Lombardi, L. Benini, and M. Milano. 2014. Cross cyclic resource-constrained scheduling solver. Artificial Intelligence 206, 25--52. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. W.-T. J. Chan, A. B. Kahng, S. Nath, and I. Yamamoto. 2014. The ITRS MPU and SOC system drivers: Calibration and implications for design-based equivalent scaling in the roadmap. In Proceedings of the IEEE International Conference on Computer Design. 153--160. Google ScholarGoogle ScholarCross RefCross Ref
  7. N. Christofides, R. Alvarez-Valdes, and J. M. Tamarit. 1987. Project scheduling with resource constraints: A branch and bound approach. European Journal of Operational Research 29, 262--273. Google ScholarGoogle ScholarCross RefCross Ref
  8. S. Fenstermaker, D. George, A. B. Kahng, S. Mantik, and B. Thielges. 2000. METRICS: A system architecture for design process optimization. In Proceedings of the ACM/IEEE Design Automation Conference. 705--710. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. R. Friese, T. Brinks, C. Oliver, H. J. Siegel, and A. A. Maciejewski. 2012. Analyzing the trade-offs between minimizing makespan and minimizing energy consumption in a heterogeneous resource allocation problem. In Proceedings of International Conference on Advanced Communications and Computation. 81--89.Google ScholarGoogle Scholar
  10. A. B. Kahng and G. Smith. 2002. A new design cost model for the 2001 ITRS. In Proceedings of International Symposium on Quality Electronic Design. 190--193. Google ScholarGoogle ScholarCross RefCross Ref
  11. B. Keller and G. Bayraksan. 2009. Scheduling jobs sharing multiple resources under uncertainty: A stochastic programming approach. IIE Transactions 42, 16--30. Google ScholarGoogle ScholarCross RefCross Ref
  12. R. Kolisch and S. Hartmann. 1999. Heuristic algorithms for the resource-constrained project scheduling problem: Classification and computational analysis. International Series in Operations Research 8 Management Science 14, 147--178.Google ScholarGoogle Scholar
  13. R. Kolisch and A. Sprecher. 1996. PSPLIB -- A project scheduling problem library. European Journal of Operational Research 96, 205--216. Google ScholarGoogle ScholarCross RefCross Ref
  14. R. Kolisch, A. Sprecher, and A. Drexl. 1992. Characterization and generation of a general class of resource-constrained project scheduling problems. Management Science 41, 10, 1693--1703. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. B. A. Kramer and C. L. Hwang. 1991. Resource constrained project scheduling: modeling with multiple alternatives. Mathematical and Computational Modeling 15, 8, 49--63. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. P. Laborie and D. Godard. 2007. Self-adapting large neighborhood search: Application to single-mode scheduling problems. Multidisciplinary International Scheduling Conference, 276--284.Google ScholarGoogle Scholar
  17. M. Li, Y. Zhang, W. Jiang, and J. Xie. 2009. A particle swarm optimization algorithm with crossover for resource constrained project scheduling problem. In Proceedings of International Conference on Services Science, Management and Engineering. 69--72. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Y. Li, J. Han, and W. Zhou. 2014. Cress: Dynamic scheduling for resource constrained jobs. In Proceedings of International Conference on Computational Science and Engineering. 1945--1952. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. S. Mohanty and M. K. Nayak. 2011. Optimization model in human resource management for job allocation in ICT project. International Journal of the Computer, the Internet and Management 19, 3, 21--27.Google ScholarGoogle Scholar
  20. R. H. Mohring, A. S. Schulz, F. Stork, and M. Uetz. 2001. On project scheduling with irregular starting time costs. Operations Research Letters 28, 149--154. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Qualcomm Inc. (IT project manager). 2014. Personal Communication.Google ScholarGoogle Scholar
  22. Z. Qiong, G. Yichao, Z. Ging, Z. Jie, and C. Xuefang. 2010. An ant colony optimization model for parallel machine scheduling with human resource constraints. In Proceedings of International Conference on Digital Enterprise Technology Advances in Intelligent and Soft Computing, Vol. 66. 917--926. Google ScholarGoogle ScholarCross RefCross Ref
  23. F. Salewski, A. Schirmer, and A. Drexl. 1997. Project scheduling under resource and mode identity constraints: Model, complexity, methods, and application. European Journal of Operational Research 102, 1, 88--110. Google ScholarGoogle ScholarCross RefCross Ref
  24. G. Smith. 2014. Personal Communication.Google ScholarGoogle Scholar
  25. G. Smith. 2014. Updates of the ITRS design cost and power models. In Proceedings of the IEEE International Conference on Computer Design. 161--165. Google ScholarGoogle ScholarCross RefCross Ref
  26. F. B. Talbot. 1982. Resource-constrained project scheduling with time-resource tradeoffs: The nonpreemptive case. Management Science 28, 10, 1197--1210. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. Cadence Assura QRC. Retrieved July 04, 2016 from https://www.cadence.com/content/cadence-www/global/en US/home/tools/digital-design-and-signoff.html.Google ScholarGoogle Scholar
  28. Cadence Innovus Implementation System. Retrieved July 4, 2016 from https://www.cadence.com/content/cadence-www/global/en US/home/tools/digital-design-and-signoff.html.Google ScholarGoogle Scholar
  29. Cadence Tempus Timing Signoff. Retrieved July 4, 2016 from https://www.cadence.com/content/cadence-www/global/en US/home/tools/digital-design-and-signoff.html.Google ScholarGoogle Scholar
  30. Dassault Systems Enovia Synchronicity. Retrieved July 8, 2016 from http://www.3ds.com/products-services/enovia/products/v6/synchronicity-designsync/.Google ScholarGoogle Scholar
  31. Glassdoor. Retrieved January 1, 2017 from https://www.glassdoor.com/Salaries/index.htm.Google ScholarGoogle Scholar
  32. How Green is my Silicon Valley? Retrieved July 4, 2016 from http://dac.com/sites/default/files/DACArchive/pubs/46DACFinal Prgm.pdf.Google ScholarGoogle Scholar
  33. IBM ILOG CPLEX. Retrieved July 4, 2016 from http://www-03.ibm.com/software/products/en/ibmilogcpleoptistud/.Google ScholarGoogle Scholar
  34. IC Manage. Retrieved July 8, 2016 from https://www.icmanage.com/ic-design-management-best-practices/.Google ScholarGoogle Scholar
  35. inMotion Creative Project Management. Retrieved July 4, 2016 from http://explore.inmotionnow.com/capterra-project-management.Google ScholarGoogle Scholar
  36. ITRS. Retrieved July 4, 2016 from http://www.itrs2.net/.Google ScholarGoogle Scholar
  37. Nefelus Design Tools. Retrieved July 8, 2016 from http://www.nefelus.com/design-tools/.Google ScholarGoogle Scholar
  38. UCSD Design Cost Optimization Solver for Multi-Tapeout Project Scheduling. Retrieved July 4, 2016 from http://vlsicad.ucsd.edu/MILP/.Google ScholarGoogle Scholar
  39. When The Chips are Down. Retrieved July 4, 2016 from http://qz.com/387490/as-moores-law-turns-50-computer-chips-continue-to-get-cheaper-and-more-powerful/.Google ScholarGoogle Scholar
  40. Platform Load Sharing Facility. Retrieved July 4, 2016 from http://www-03.ibm.com/systems/services/platformcomputing/lsf.html.Google ScholarGoogle Scholar
  41. PSLIB Data Sets. Retrieved July 4, 2016 from http://www.om-db.wi.tum.de/psplib/download.html.Google ScholarGoogle Scholar
  42. Runtime Design Automation. Retrieved July 4, 2016 from http://www.rtda.com/.Google ScholarGoogle Scholar
  43. Qualcomm Snapdragon. Retrieved July 4, 2016 from https://www.qualcomm.com/products/snapdragon.Google ScholarGoogle Scholar
  44. Salesforce Project Management. Retrieved July 8, 2016 from https://www.salesforce.com/.Google ScholarGoogle Scholar
  45. Samsung Exynos. Retrieved July 4, 2016 from http://www.samsung.com/semiconductor/products/exynos-solution/application-processor/.Google ScholarGoogle Scholar
  46. Synopsys IC Compiler. Retrieved July 4, 2016 from http://www.synopsys.com/Tools/Implementation/PhysicalImplementation/Pages/default.aspx.Google ScholarGoogle Scholar
  47. Synopsys PrimeTime. Retrieved July 4, 2016 from http://www.synopsys.com/Tools/Implementation/SignOff/Pages/PrimeTime.aspx.Google ScholarGoogle Scholar
  48. Synopsys Star-RCXT. Retrieved July 4, 2016 from http://www.synopsys.com/Tools/Implementation/SignOff/Pages/StarRC-ds.aspx.Google ScholarGoogle Scholar

Index Terms

  1. Optimal Scheduling and Allocation for IC Design Management and Cost Reduction

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in

    Full Access

    • Published in

      cover image ACM Transactions on Design Automation of Electronic Systems
      ACM Transactions on Design Automation of Electronic Systems  Volume 22, Issue 4
      October 2017
      430 pages
      ISSN:1084-4309
      EISSN:1557-7309
      DOI:10.1145/3097980
      • Editor:
      • Naehyuck Chang
      Issue’s Table of Contents

      Copyright © 2017 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 9 June 2017
      • Accepted: 1 December 2016
      • Revised: 1 November 2016
      • Received: 1 July 2016
      Published in todaes Volume 22, Issue 4

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article
      • Research
      • Refereed

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader