skip to main content
research-article

Improving Performance under Process and Voltage Variations in Near-Threshold Computing Using 3D ICs

Published:29 June 2017Publication History
Skip Abstract Section

Abstract

Near-threshold computing (NTC) circuits have been shown to offer significant energy efficiency and power benefits but with a huge performance penalty. This performance loss exacerbates if process and voltage variations are considered. In this article, we demonstrate that three-dimensional (3D) IC technology can overcome this limitation. We present a detailed case study with a 28nm commercial-grade core at 0.6V operation optimized with various 3D IC physical design methods. First, our study under the deterministic case shows that 3D IC NTC design outperforms 2D IC NTC by 29.5% in terms of performance at comparable energy. This is significantly higher than the 12.8% performance benefit of 3D IC at nominal voltage supplies due to higher delay sensitivity to input slew at lower voltages. Second, it is well demonstrated that transistor delay is more sensitive to voltage changes at NTC operation. However, our full-chip study reveals that IR drop effect on 2D/3D IC NTC performance is not severe due to the low power consumption and hence lower IR drop values. Third, die-to-die variation impact on full-chip performance is visible in 3D IC NTC designs, but it is not worse compared to 2D IC NTC designs. This is mainly due to the shorter critical path length in 3D IC NTC designs.

References

  1. F. Abouzeid, A. Bienfait, K. C. Akyel, S. Clerc, L. Ciampolini, and P. Roche. 2013. Scalable 0.35V to 1.2V SRAM bitcell design from 65nm CMOS to 28nm FDSOI. In 2013 Proceedings of the ESSCIRC (ESSCIRC). 205--208.Google ScholarGoogle Scholar
  2. M. Alioto and G. Palumbo. 2006. Impact of supply voltage variations on full adder delay: Analysis and comparison. IEEE Trans. VLSI Syst. 14, 12 (Dec. 2006), 1322--1335. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. E. Beigne, A. Valentian, B. Giraud, O. Thomas, T. Benoist, Y. Thonnart, S. Bernard, G. Moritz, O. Billoint, Y. Maneglia, P. Flatresse, J. P. Noel, F. Abouzeid, B. Pelloux-Prayer, A. Grover, S. Clerc, P. Roche, J. Le Coz, S. Engels, and R. Wilson. 2013. Ultra-wide voltage range designs in fully-depleted silicon-on-insulator FETs. In Design, Automation Test in Europe Conference Exhibition (DATE), 2013. 613--618. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. A. P. Chandrakasan, D. C. Daly, D. F. Finchelstein, J. Kwong, Y. K. Ramadass, M. E. Sinangil, V. Sze, and N. Verma. 2010. Technologies for ultradynamic voltage scaling. Proc. IEEE 98, 2 (Feb. 2010), 191--214.Google ScholarGoogle ScholarCross RefCross Ref
  5. L. Chang, D. J. Frank, R. K. Montoye, S. J. Koester, B. L. Ji, P. W. Coteus, R. H. Dennard, and W. Haensch. 2010. Practical strategies for power-efficient computing technologies. Proc. IEEE 98, 2 (Feb. 2010), 215--236.Google ScholarGoogle ScholarCross RefCross Ref
  6. P. Corsonello, S. Perri, and F. Frustaci. 2015. Exploring well configurations for voltage level converter design in 28 nm UTBB FDSOI technology. In 2015 33rd IEEE International Conference on Computer Design (ICCD). 499--504. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. R. G. Dreslinski, M. Wieckowski, D. Blaauw, D. Sylvester, and T. Mudge. 2010. Near-threshold computing: Reclaiming moore’s law through energy efficient integrated circuits. Proc. IEEE 98, 2 (Feb. 2010), 253--266.Google ScholarGoogle ScholarCross RefCross Ref
  8. R. G. Dreslinski, B. Zhai, T. Mudge, D. Blaauw, and D. Sylvester. 2007. An energy efficient parallel architecture using near threshold operation. In 16th International Conference on Parallel Architecture and Compilation Techniques, 2007 (PACT 2007). 175--188. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. D. Fick, R. G. Dreslinski, B. Giridhar, Gyouho Kim, Sangwon Seo, M. Fojtik, S. Satpathy, Yoonmyung Lee, Daeyeon Kim, N. Liu, M. Wieckowski, G. Chen, T. Mudge, D. Blaauw, and D. Sylvester. 2013. Centip3De: A cluster-based NTC architecture with 64 ARM cortex-M3 cores in 3D stacked 130 nm CMOS. IEEE J. Solid-State Circ. 48, 1 (Jan. 2013), 104--117.Google ScholarGoogle ScholarCross RefCross Ref
  10. Siddharth Garg and D. Marculescu. 2009. 3D-GCP: An analytical model for the impact of process variations on the critical path delay distribution of 3D ICs. In ISQED, 2009. 147--155. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Scott Hanson et al. 2006. Ultralow-voltage, minimum-energy CMOS. IBM J. Res. Dev. 50, 4/5 (2006), 469--490. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Da-Cheng Juan, S. Garg, and D. Marculescu. 2013. Impact of manufacturing process variations on performance and thermal characteristics of 3D ICs: Emerging challenges and new solutions. In ISCAS.Google ScholarGoogle Scholar
  13. Moongon Jung, Taigon Song, Yarui Peng, and Sung Kyu Lim. 2015. Fine-grained 3-D IC partitioning study with a multicore processor. IEEE Trans. Comp. Packag. Manuf. Technol. 5, 10 (Oct 2015), 1393--1401.Google ScholarGoogle Scholar
  14. G. Katti, M. Stucchi, K. de Meyer, and W. Dehaene. 2010. Electrical modeling and characterization of through silicon via for three-dimensional ICs. IEEE Trans. Electron Dev. 57, 1 (Jan. 2010), 256--262.Google ScholarGoogle ScholarCross RefCross Ref
  15. H. Kaul, M. Anders, S. Hsu, A. Agarwal, R. Krishnamurthy, and S. Borkar. 2012. Near-threshold voltage (NTV) design-opportunities and challenges. In DAC. 1149--1154. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. M. Konijnenburg, Y. Cho, M. Ashouei, T. Gemmeke, C. Kim, J. Hulzink, J. Stuyt, M. Jung, J. Huisken, S. Ryu, J. Kim, and H. d. Groot. 2013. Reliable and energy-efficient 1MHz 0.4V dynamically reconfigurable SoC for ExG applications in 40nm LP CMOS. In 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers. 430--431.Google ScholarGoogle ScholarCross RefCross Ref
  17. Wulong Liu, Haixiao Du, Yu Wang, Yuchun Ma, Y. Xie, Jinguo Quan, and Huazhong Yang. 2013. TSV-aware topology generation for 3D clock tree synthesis. In 2013 14th International Symposium on Quality Electronic Design (ISQED). 300--307.Google ScholarGoogle Scholar
  18. Chien-Wei Lo, Liang Men, J. Brady, and Jia Di. 2015. Asynchronous and synchronous designs for low-power FDSOI CMOS process optimized for subthreshold operation at 0.3V VDD. In 2015 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S). 1--3.Google ScholarGoogle Scholar
  19. OpenSPARC T2 Oracle. 2014. http://www.oracle.com. (2014).Google ScholarGoogle Scholar
  20. S. K. Samal, Y. Li, G. Chen, and S. K. Lim. 2015a. Improving performance in near-threshold circuits using 3D IC technology. In 2015 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S). 1--2.Google ScholarGoogle Scholar
  21. S. K. Samal, Y. Peng, M. Pathak, and S. K. Lim. 2015b. Ultralow power circuit design with subthreshold/near-threshold 3-D IC technologies. IEEE Trans. Comp. Packag. Manuf. Technol. 5, 7 (Jul. 2015), 980--990.Google ScholarGoogle Scholar
  22. J. S. Yang, J. Pak, X. Zhao, S. K. Lim, and D. Z. Pan. 2011. Robust clock tree synthesis with timing yield optimization for 3D-ICs. In 2011 16th Asia and South Pacific Design Automation Conference (ASP-DAC). 621--626. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. Bo Zhai, R. G. Dreslinski, D. Blaauw, T. Mudge, and D. Sylvester. 2007. Energy efficient near-threshold chip multi-processing. In 2007 ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED). 32--37. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Improving Performance under Process and Voltage Variations in Near-Threshold Computing Using 3D ICs

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in

        Full Access

        • Published in

          cover image ACM Journal on Emerging Technologies in Computing Systems
          ACM Journal on Emerging Technologies in Computing Systems  Volume 13, Issue 4
          October 2017
          267 pages
          ISSN:1550-4832
          EISSN:1550-4840
          DOI:10.1145/3098274
          • Editor:
          • Yuan Xie
          Issue’s Table of Contents

          Copyright © 2017 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 29 June 2017
          • Accepted: 1 February 2017
          • Revised: 1 December 2016
          • Received: 1 September 2016
          Published in jetc Volume 13, Issue 4

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article
          • Research
          • Refereed

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader