skip to main content
10.1145/3061639.3062330acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Toward Optimal Legalization for Mixed-Cell-Height Circuit Designs

Authors Info & Claims
Published:18 June 2017Publication History

ABSTRACT

Modern circuits often contain standard cells of different row heights to meet various design requirements. Higher cells give larger drive strengths at the costs of larger areas and power. Multi-row-height standard cells incur challenging issues to layout designs, especially the mixed-cell-height legalization problem due to the heterogeneous cell structures. Honoring the good cell positions from global placement, we present in this paper a fast and near-optimal algorithm to solve the legalization problem. Fixing the cell ordering from global placement and relaxing the right boundary constraints, we first convert the problem into a linear complementarity problem (LCP). With the converted LCP, we split its matrices to meet the convergence requirement of a modulus-based matrix splitting iteration method (MMSIM), and then apply the MMSIM to solve the LCP. This MMSIM method guarantees the optimality if no cells are placed beyond the right boundary of a chip. Finally, a Tetris-like allocation approach is used to align cells to placement sites on rows and fix the placement of out-of-right-boundary cells, if any. Experimental results show that our proposed algorithm can achieve the best cell displacement and wirelength among all published methods in reasonable runtimes. The MMSIM optimality is theoretically proven and empirically validated. In particular, our formulation provides new generic solutions and research directions for various optimization problems that require solving large-scale quadratic programs efficiently.

References

  1. Z. Z. Bai. Modulus-based matrix splitting iteration methods for linear complementarity problems. Numerical Linear Algebra with Applications, 17, pp. 917--933, 2010.Google ScholarGoogle ScholarCross RefCross Ref
  2. Z. Z. Bai, B. Parlett, and Z. Q. Wang. On generalized successive overrelaxation methods for augmented linear systems. Numerische Mathematik, 102, pp. 1--38, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. S. Boyd and L. Vandenberghe. Convex optimization. Cambridge: Cambridge University Press, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. S. H. Baek, H. Y. Kim, Y. K. Lee, D. Y. Jin, S. C. Park, and J. D. Cho. Ultra high density standard cell library using multi-height cell structure. In Proceedings of SPIE 7268, pp. 72680C--72680C, 2008.Google ScholarGoogle ScholarCross RefCross Ref
  5. I. S. Bustany, D. Chinnery, J. R. Shinnerl and V. Tutsi. ISPD 2015 benchmarks with fence regions and routing blockages for detailed-routing-driven placement. In Proceedings of ACM International Symposium on Physical Design, pp. 157--164, 2015. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. J. Chen, W. X. Zhu, and Z. Peng. A heuristic algorithm for the strip packing problem. Journal of Heuristics, 18(4), pp. 677--697, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. W. K. Chow, C. W. Pui, and F. Y. Young. Legalization algorithm for multiple-row height standard cell design. In Proceedings of ACM/IEEE Design Automation Conference, 2016. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. C. C. N. Chu and D. F. Wong. A quadratic programming approach to simultaneous buffer insertion/sizing and wire sizing. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 18(6), pp. 787--798, 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. S. Dobre, A. B. Kahng, and J. Li. Mixed cell-height implementation for improved design quality in advanced nodes. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pp. 854--860, 2015. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. E. M. Gertz and S. J. Wright. Object-oriented software for quadratic programming. ACM Transactions on Mathematical Software, 29(1), pp. 58--81, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. D. Hill. Method and system for high speed detailed placement of cells within integrated circuit designs. In U.S. Patent 6370673, 2002.Google ScholarGoogle Scholar
  12. Y. Lin, B. Yu, X. Xu, J. Gao, N. Viswanathan, W. Liu, Z. Li, C. J. Alpert, and D. Z. Pan. MrDP: multiple-row detailed placement of heterogeneous-sized cells for advanced nodes. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pp. 7:1--7:8, 2016. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. J. Nocedal, S. J. Wright. Numerical optimization. New York: Springer, 2006.Google ScholarGoogle Scholar
  14. P. Spindler, U. Schlichtmann, and F. M. Johannes. Abacus: fast legalization of standard cell Circuits with minimal movement. In Proceedings of ACM International Symposium on Physical Design, pp. 47--53, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Y. Tao, C. Yan, Y. Lin, S. Wang, David Z. Pan, and X. Zeng. A Novel Unified Dummy Fill Insertion Framework with SQP-Based Optimization Method. In Proceeding of IEEE/ACM International Conference on Computer-Aided Design, pp. 88:1--88:8, 2016. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. S. Vichik, M. Arcak, and F. Borrelli. Stability of an analog optimization circuit for quadratic programming. Systems&Control Letters, 88, pp. 68--74, 2016.Google ScholarGoogle Scholar
  17. N. Viswanathan, G. J. Nam, C. J. Alpert, P. Villarrubia, H. Ren, and C. Chu. RQL: global placement via relaxed quadratic spreading and linearization. In Proceedings of ACM/IEEE Design Automation Conference, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. C. H. Wang, Y. Y. Wu, J. Chen, Y. W. Chang, S. Y. Kuo, W. X. Zhu, and Genghua Fan. An effective legalization algorithm for mixed-cell-height standard cells. In Proceedings of IEEE/ACM Asia and South Pacific Design Automation Conference, pp. 450--455, 2017.Google ScholarGoogle ScholarCross RefCross Ref
  19. J. Wang, A. K. Wong, and E. Y. Lam. Standard cell layout with regular contact placement. IEEE Transactions on Semiconductor Manufacturing, 17(3), pp. 375--383, 2004.Google ScholarGoogle ScholarCross RefCross Ref
  20. P. H. William, S. A. Teukolsky, V. T. William, and B. P. Flannery. Numerical Recipes: The Art of Scientific Computing (3rd ed.), Section 2.7.1 Sherman-Morrison formula. New York: Cambridge University Press, 2007.Google ScholarGoogle Scholar
  21. G. Wu and C. Chu. Detailed placement algorithm for VLSI design with double-row height standard cells. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 35(9), pp. 1569--1573, 2015.Google ScholarGoogle ScholarCross RefCross Ref

Index Terms

  1. Toward Optimal Legalization for Mixed-Cell-Height Circuit Designs

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      DAC '17: Proceedings of the 54th Annual Design Automation Conference 2017
      June 2017
      533 pages
      ISBN:9781450349277
      DOI:10.1145/3061639

      Copyright © 2017 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 18 June 2017

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article
      • Research
      • Refereed limited

      Acceptance Rates

      Overall Acceptance Rate1,770of5,499submissions,32%

      Upcoming Conference

      DAC '24
      61st ACM/IEEE Design Automation Conference
      June 23 - 27, 2024
      San Francisco , CA , USA

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader