skip to main content
10.1145/3079856.3080247acmconferencesArticle/Chapter ViewAbstractPublication PagesiscaConference Proceedingsconference-collections
research-article

Bespoke Processors for Applications with Ultra-low Area and Power Constraints

Published: 24 June 2017 Publication History

Abstract

A large number of emerging applications such as implantables, wearables, printed electronics, and IoT have ultra-low area and power constraints. These applications rely on ultra-low-power general purpose microcontrollers and microprocessors, making them the most abundant type of processor produced and used today. While general purpose processors have several advantages, such as amortized development cost across many applications, they are significantly over-provisioned for many area- and power-constrained systems, which tend to run only one or a small number of applications over their lifetime. In this paper, we make a case for bespoke processor design, an automated approach that tailors a general purpose processor IP to a target application by removing all gates from the design that can never be used by the application. Since removed gates are never used by an application, bespoke processors can achieve significantly lower area and power than their general purpose counterparts without any performance degradation. Also, gate removal can expose additional timing slack that can be exploited to increase area and power savings or performance of a bespoke design. Bespoke processor design reduces area and power by 62% and 50%, on average, while exploiting exposed timing slack improves average power savings to 65%.

References

[1]
43oh. 2012. Products with an MSP430. http://43oh.com/2012/03/winner-products-using-the-msp430/. (2012).
[2]
A. Abdollahi, F. Fallah, and M. Pedram. 2005. An effective power mode transition technique in MTCMOS circuits. In Design Automation Conference, 2005. Proceedings. 42nd. 37--42.
[3]
Abhinav Agarwal and Arvind. 2013. Leveraging Rule-based Designs for Automatic Power Domain Partitioning. In Proceedings of the International Conference on Computer-Aided Design (ICCAD '13). IEEE Press, Piscataway, NJ, USA, 326--333. http://dl.acm.org/citation.cfm?id=2561828.2561895
[4]
Mohab Anis, Mohamed Mahmoud, Mohamed Elmasry, and Shawki Areibi. 2002. Dynamic and Leakage Power Reduction in MTCMOS Circuits Using an Automated Efficient Gate Clustering Technique. In Proceedings of the 39th Annual Design Automation Conference (DAC '02). ACM, New York, NY, USA, 480--485.
[5]
Henry Blodget, Marcelo Ballve, Tony Danova, Cooper Smith, John Heggestuen, Mark Hoelzel, Emily Adler, Cale Weissman, Hope King, Nicholas Quah, John Greenough, and Jessica Smith. 2014. The Internet of Everything: 2015. BI Intelligence (2014).
[6]
Jacob Borgeson. 2012. Ultra-low-power pioneers: TI slashes total MCU power by 50 percent with new "Wolverine" MCU platform. Texas Instruments White Paper (2012). http://www.ti.com/lit/wp/slay019a/slay019a.pdf
[7]
Randal E. Bryant. 1990. Symbolic Simulation -- Techniques and Applications. In Proceedings of the 27th ACM/IEEE Design Automation Conference (DAC '90). 517--521.
[8]
Randal E Bryant. 1991. Symbolic Simulation -- Techniques and Applications. In Proceedings of the 27th ACM/IEEE Design Automation Conference. ACM, 517--521.
[9]
Cristian Cadar, Daniel Dunbar, and Dawson R Engler. 2008. KLEE: Unassisted and Automatic Generation of High-Coverage Tests for Complex Systems Programs. In OSDI, Vol. 8. 209--224.
[10]
Cristian Cadar and Koushik Sen. 2013. Symbolic Execution for Software Testing: Three Decades Later. Commun. ACM 56, 2 (Feb. 2013), 82--90.
[11]
Cadence. Encounter Digital Implementation User Guide. http://www.cadence.com/
[12]
Cadence. Stratus High-Level Synthesis User Guide. http://www.cadence.com/
[13]
Cadence. 2017. Tensilica Customizable Processors. http://ip.cadence.com/ipportfolio/tensilica-ip/xtensa-customizable. (2017).
[14]
B.H. Calhoun, F.A. Honore, and A. Chandrakasan. 2003. Design methodology for fine-grained leakage control in MTCMOS. In Low Power Electronics and Design, 2003. ISLPED '03. Proceedings of the 2003 International Symposium on. 104--109.
[15]
Lizhong Chen and Timothy M Pinkston. 2012. Nord: Node-router decoupling for effective power-gating of on-chip routers. In Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society, 270--281.
[16]
Lizhong Chen, Di Zhu, Massoud Pedram, and Timothy M Pinkston. 2015. Power punch: Towards non-blocking power-gating of noc routers. In 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA). IEEE, 378--389.
[17]
Steven Cherry. 2013. Hacking Pacemakers. http://spectrum.ieee.org/podcast/biomedical/devices/hacking-pacemakers/. (2013).
[18]
Hari Cherupalli, Rakesh Kumar, and John Sartori. 2016. Exploiting Dynamic Timing Slack for Energy Efficiency in Ultra-Low-Power Embedded Systems. In Computer Architecture (ISCA), 2016 43th Annual International Symposium on. IEEE.
[19]
De-Shiuan Chiou, Da-Cheng Juan, Yu-Ting Chen, and Shih-Chieh Chang. 2007. Fine-Grained Sleep Transistor Sizing Algorithm for Leakage Power Minimization. In Design Automation Conference, 2007. DAC '07. 44th ACM/IEEE. 81--86.
[20]
Reetuparna Das, Satish Narayanasamy, Sudhir K Satpathy, and Ronald G Dreslinski. 2013. Catnap: energy proportional multiple network-on-chip. In ACM SIGARCH Computer Architecture News, Vol. 41. ACM, 320--331.
[21]
Adam Dunkels, Joakim Eriksson, Niclas Finne, Fredrik Osterlind, Nicolas Tsiftes, Julien Abeillé, and Mathilde Durvy. 2012. Low-Power IPv6 for the internet of things. In Networked Sensing Systems (INSS), 2012 Ninth International Conference on. IEEE, 1--6.
[22]
Embedded Microprocessor Benchmark Consortium. 2017. EEMBC. http://www.eembc.org. (2017).
[23]
Dave Evans. 2011. The Internet of Things: How the Next Evolution of the Internet Is Changing Everything. (April 2011).
[24]
Tao Feng, L. C. Wang, Kwang-Ting Cheng, M. Pandey, and M. S. Abadir. 2003. Enhanced symbolic simulation for efficient verification of embedded array systems. In Design Automation Conference, 2003. Proceedings of the ASP-DAC 2003. Asia and South Pacific. 302--307.
[25]
Paul Gerrish, Erik Herrmann, Larry Tyler, and Kevin Walsh. 2005. Challenges and constraints in designing implantable medical ICs. IEEE Transactions on Device and Materials Reliability 5, 3 (2005), 435--444.
[26]
William F Gilreath and Phillip A Laplante. 2003. Computer Architecture: A Minimalist Perspective. Vol. 730. Springer Science & Business Media.
[27]
O Girard. 2013. OpenMSP430 project. available at opencores.org (2013).
[28]
Nathan Goulding-Hotta, Jack Sampson, Ganesh Venkatesh, Saturnino Garcia, Joe Auricchio, Po-Chao Huang, Manish Arora, Siddhartha Nath, Vikram Bhatt, Jonathan Babb, and others. 2011. The GreenDroid Mobile Application Processor: An Architecture for Silicon's Dark Future. IEEE Micro 31, 2 (2011), 86--95.
[29]
Venkatraman Govindaraju, Chen-Han Ho, Tony Nowatzki, Jatin Chhugani, Nadathur Satish, Karthikeyan Sankaralingam, and Changkyu Kim. 2012. DySER: Unifying Functionality and Parallelism Specialization for Energy-Efficient Computing. IEEE Micro 32, 5 (Sept. 2012), 38--51.
[30]
G. Hackmann, Weijun Guo, Guirong Yan, Zhuoxiong Sun, Chenyang Lu, and S. Dyke. 2014. Cyber-Physical Codesign of Distributed Structural Health Monitoring with Wireless Sensor Networks. Parallel and Distributed Systems, IEEE Transactions on 25, 1 (Jan 2014), 63--72.
[31]
Tsuyoshi Hamada, Khaled Benkrid, Keigo Nitadori, and Makoto Taiji. 2009. A comparative study on ASIC, FPGAs, GPUs and general purpose processors in the O (N^ 2) gravitational N-body simulation. In Adaptive Hardware and Systems, 2009. AHS 2009. NASA/ESA Conference on. IEEE, 447--452.
[32]
K. Hamaguchi. 2001. Symbolic simulation heuristics for high-level design descriptions with uninterpreted functions. In High-Level Design Validation and Test Workshop, 2001. Proceedings. Sixth IEEE International. 25--30.
[33]
Sönke Holthusen, Sophie Quinton, Ina Schaefer, Johannes Schlatow, and Martin Wegner. 2016. Using Multi-Viewpoint Contracts for Negotiation of Embedded Software Updates. arXiv preprint arXiv:1606.00504 (2016).
[34]
IC Insights. 2017. Microcontroller Sales Regain Momentum After Slump. www.icinsights.com/news/bulletins/Microcontroller-Sales-Regain-Momentum-After-Slump. (2017).
[35]
Ali Irturk, Janarbek Matai, Jason Oberg, Jeffrey Su, and Ryan Kastner. 2011. Simulate and eliminate: A top-to-bottom design methodology for automatic generation of application specific architectures. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 30, 8 (2011), 1173--1183.
[36]
ITRS. 2015. International Technology Roadmap for Semiconductors 2.0 2015 Edition Executive Report. http://www.semiconductors.org/main/2015_international_technology_roadmap_for_semiconductors_itrs/. (2015).
[37]
P. Jain and G. Gopalakrishnan. 1994. Efficient symbolic simulation-based verification using the parametric form of Boolean expressions. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 13, 8 (Aug 1994), 1005--1015.
[38]
Y. Jia and M. Harman. 2008. MILU: A Customizable, Runtime-Optimized Higher Order Mutation Testing Tool for the Full C Language. In Practice and Research Techniques, 2008. TAIC PART '08. Testing: Academic Industrial Conference. 94--98.
[39]
Y. Kanno, H. Mizuno, Y. Yasu, K. Hirose, Y. Shimazaki, T. Hoshi, Y. Miyairi, T. Ishii, Tetsuy. Yamada, T. Irita, T. Hattori, K. Yanagisawa, and N. Irie. 2007. Hierarchical Power Distribution With Power Tree in Dozens of Power Domains for 90-nm Low-Power Multi-CPU SoCs. Solid-State Circuits, IEEE Journal of 42, 1 (Jan 2007), 74--83.
[40]
J. Kao, S. Narendra, and A. Chandrakasan. 1998. MTCMOS hierarchical sizing based on mutual exclusive discharge patterns. In Design Automation Conference, 1998. Proceedings. 495--500.
[41]
BK Charlotte Kjellander, Wiljan TT Smaal, Kris Myny, Jan Genoe, Wim Dehaene, Paul Heremans, and Gerwin H Gelinck. 2013. Optimized circuit design for flexible 8-bit RFID transponders with active layer of ink-jet printed small molecule semiconductors. Organic Electronics 14, 3 (2013), 768--774.
[42]
A. Kolbi, J. Kukula, and R. Damiano. 2001. Symbolic RTL simulation. In Design Automation Conference, 2001. Proceedings. 47--52.
[43]
Vasileios Kontorinis, Amirali Shayan, Dean M. Tullsen, and Rakesh Kumar. 2009. Reducing Peak Power with a Table-driven Adaptive Processor Core. In Proceedings of the 42Nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 42). ACM, New York, NY, USA, 189--200.
[44]
L. Liu and S. Vasudevan. 2011. Efficient validation input generation in RTL by hybridized source code analysis. In Design, Automation Test in Europe Conference Exhibition (DATE), 2011. 1--6.
[45]
Changbo Long and Lei He. 2003. Distributed Sleep Transistor Network for Power Reduction. In Proceedings of the 40th Annual Design Automation Conference (DAC '03). ACM, New York, NY, USA, 181--186.
[46]
Michele Magno, Luca Benini, Christian Spagnol, and E Popovici. 2013. Wearable low power dry surface wireless sensor node for healthcare monitoring application. In Wireless and Mobile Computing, Networking and Communications (WiMob), 2013 IEEE 9th International Conference on. IEEE, 189--195.
[47]
Mentor Graphics. 2016. Catapult High-Level Synthesis. https://www.mentor.com/hls-lp/catapult-high-level-synthesis/c-systemc-hls. (2016).
[48]
Kris Myny, Steve Smout, Maarten Rockelé, Ajay Bhoolokam, Tung Huei Ke, Soeren Steudel, Brian Cobb, Aashini Gulati, Francisco Gonzalez Rodriguez, Koji Obata, and others. 2014. A thin-film microprocessor with inkjet print-programmable memory. Scientific reports 4 (2014), 7398.
[49]
K. Myny, E. van Veenendaal, G. H. Gelinck, J. Genoe, W. Dehaene, and P. Heremans. 2011. An 8b organic microprocessor on plastic foil. In 2011 IEEE International Solid-State Circuits Conference. 322--324.
[50]
Seetharam Narasimhan, Hillel J Chiel, and Swarup Bhunia. 2011. Ultra-low-power and robust digital-signal-processing hardware for implantable neural interface microsystems. IEEE transactions on biomedical circuits and systems 5, 2 (2011), 169--178.
[51]
Chulsung Park, Pai H Chou, Ying Bai, Robert Matthews, and Andrew Hibbs. 2006. An ultra-wearable, wireless, low power ECG monitoring system. In Biomedical Circuits and Systems Conference, 2006. BioCAS 2006. IEEE. IEEE, 241--244.
[52]
Paula Petrica, Adam M. Izraelevitz, David H. Albonesi, and Christine A. Shoemaker. 2013. Flicker: A Dynamically Adaptive Architecture for Power Limited Multicore Systems. SIGARCH Comput. Archit. News 41, 3 (June 2013), 13--23.
[53]
Gil Press. 2014. Internet of Things By The Numbers: Market Estimates And Forecasts. Forbes (2014).
[54]
Benjamin Ransford, Jacob Sorber, and Kevin Fu. 2012. Mementos: system support for long-running computation on RFID-scale devices. Acm Sigplan Notices 47, 4 (2012), 159--170.
[55]
Real Time Engineers Ltd. 2016. The FreeRTOS website. http://www.freertos.org/. (2016).
[56]
Miro Samek. 2007. Building BareMetal ARM systems with GNU. http://www.state-machine.com/arm/Building_bare-metal_ARM_with_GNU.pdf. (2007).
[57]
Ashoka Sathanur, Antonio Pullini, Luca Benini, Alberto Macii, Enrico Macii, and Massimo Poncino. 2007. Timing-driven Row-based Power Gating. In Proceedings of the 2007 International Symposium on Low Power Electronics and Design (ISLPED '07). ACM, New York, NY, USA, 104--109.
[58]
Naomi Seki, Lei Zhao, Jo Kei, Daisuke Ikebuchi, Yu Kojima, Yohei Hasegawa, Hideharu Amano, Toshihiro Kashima, Seidai Takeda, Toshiaki Shirai, and others. 2008. A fine-grain dynamic sleep control scheme in MIPS R3000. In Computer Design, 2008. ICCD 2008. IEEE International Conference on. IEEE, 612--617.
[59]
Ofer Shacham. 2011. Chip Multiprocessor Generator: Automatic Generation of Custom and Heterogeneous Compute Platforms. Ph.D. Dissertation.
[60]
Youngsoo Shin, Jun Seomun, Kyu-Myung Choi, and Takayasu Sakurai. 2010. Power Gating: Circuits, Design Methodologies, and Best Practice for Standard-cell VLSI Designs. ACM Trans. Des. Autom. Electron. Syst. 15, 4, Article 28 (Oct. 2010), 37 pages.
[61]
A. Silberschatz, P. Galvin, and G Gagne. 2017. Bare Machine, Wikipedia. http://en.wikipedia.org/wiki/Bare_machine. (2017).
[62]
Synopsys. Design Compiler User Guide. http://www.synopsys.com/
[63]
Synopsys. Formality User Guide. http://www.synopsys.com/
[64]
Synopsys. PrimeTime User Guide. http://www.synopsys.com/
[65]
Russell Tessier, David Jasinski, Atul Maheshwari, Aiyappan Natarajan, Weifeng Xu, and Wayne Burleson. 2005. An energy-aware active smart card. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on 13, 10 (2005), 1190--1199.
[66]
Texas Instruments. 2015. StarterWare. http://processors.wiki.ti.com/index.php/StarterWare. (2015).
[67]
Kimiyoshi Usami and Naoaki Ohkubo. 2006. A design approach for fine-grained run-time power gating using locally extracted sleep signals. In Proc. of ICCD'06. 155--161.
[68]
Ganesh Venkatesh, Jack Sampson, Nathan Goulding, Saturnino Garcia, Vladyslav Bryksin, Jose Lugo-Martinez, Steven Swanson, and Michael Bedford Taylor. 2010. Conservation Cores: Reducing the Energy of Mature Computations. In Proceedings of the Fifteenth Edition of ASPLOS on Architectural Support for Programming Languages and Operating Systems (ASPLOS XV). ACM, New York, NY, USA, 205--218.
[69]
Ganesh Venkatesh, Jack Sampson, Nathan Goulding-Hotta, Sravanthi Kota Venkata, Michael Bedford Taylor, and Steven Swanson. 2011. QsCores: Trading Dark Silicon for Scalable Energy Efficiency with Quasi-specific Cores. In Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-44). ACM, New York, NY, USA, 163--174.
[70]
Wikipedia. 2016. List of wireless sensor nodes. (2016). https://en.wikipedia.org/wiki/List_of_wireless_sensor_nodes {Online; accessed 7-April-2016}.
[71]
Tong Xu, Peng Li, and Boyuan Yan. 2011. Decoupling for power gating: Sources of power noise and design strategies. In Design Automation Conference (DAC), 2011 48th ACM/EDAC/IEEE. 1002--1007.
[72]
Ross Yu and Thomas Watteyne. 2013. Reliable, Low Power Wireless Sensor Networks for the Internet of Things: Making Wireless Sensors as Accessible as Web Servers. Linear Technology (2013). http://cds.linear.com/docs/en/white-paper/wp003.pdf
[73]
Bo Zhai, Sanjay Pant, Leyla Nazhandali, Scott Hanson, Javin Olson, Anna Reeves, Michael Minuth, Ryan Helfand, Todd Austin, Dennis Sylvester, and others. 2009. Energy-efficient subthreshold processor design. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on 17, 8 (2009), 1127--1137.
[74]
Y. Zhang, Z. Chen, and J. Wang. 2012. Speculative Symbolic Execution. In Software Reliability Engineering (ISSRE), 2012 IEEE 23rd International Symposium on. 101--110.

Cited By

View all
  • (2025)Approximate Computing Survey, Part II: Application-Specific & Architectural Approximation Techniques and ApplicationsACM Computing Surveys10.1145/371168357:7(1-36)Online publication date: 20-Feb-2025
  • (2024)Processor-Sharing Internet of Things Architecture for Large-scale DeploymentProceedings of the 22nd ACM Conference on Embedded Networked Sensor Systems10.1145/3666025.3699333(211-224)Online publication date: 4-Nov-2024
  • (2023)Model-to-Circuit Cross-Approximation For Printed Machine Learning ClassifiersIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.325866842:11(3532-3544)Online publication date: Nov-2023
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
ISCA '17: Proceedings of the 44th Annual International Symposium on Computer Architecture
June 2017
736 pages
ISBN:9781450348928
DOI:10.1145/3079856
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 24 June 2017

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. Internet of Things
  2. application-specific processors
  3. bespoke processors
  4. hardware-software co-analysis
  5. ultra-low-power processors

Qualifiers

  • Research-article
  • Research
  • Refereed limited

Conference

ISCA '17
Sponsor:

Acceptance Rates

ISCA '17 Paper Acceptance Rate 54 of 322 submissions, 17%;
Overall Acceptance Rate 543 of 3,203 submissions, 17%

Upcoming Conference

ISCA '25

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)44
  • Downloads (Last 6 weeks)6
Reflects downloads up to 03 Mar 2025

Other Metrics

Citations

Cited By

View all
  • (2025)Approximate Computing Survey, Part II: Application-Specific & Architectural Approximation Techniques and ApplicationsACM Computing Surveys10.1145/371168357:7(1-36)Online publication date: 20-Feb-2025
  • (2024)Processor-Sharing Internet of Things Architecture for Large-scale DeploymentProceedings of the 22nd ACM Conference on Embedded Networked Sensor Systems10.1145/3666025.3699333(211-224)Online publication date: 4-Nov-2024
  • (2023)Model-to-Circuit Cross-Approximation For Printed Machine Learning ClassifiersIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.325866842:11(3532-3544)Online publication date: Nov-2023
  • (2023)Co-Design of Approximate Multilayer Perceptron for Ultra-Resource Constrained Printed CircuitsIEEE Transactions on Computers10.1109/TC.2023.325186372:9(2717-2725)Online publication date: 1-Sep-2023
  • (2023)Virtual Prototype Driven Application Specific Hardware Optimization2023 Forum on Specification & Design Languages (FDL)10.1109/FDL59689.2023.10272131(1-8)Online publication date: 13-Sep-2023
  • (2022)Cross-Layer Approximation For Printed Machine Learning Circuits2022 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE54114.2022.9774689(190-195)Online publication date: 14-Mar-2022
  • (2022)When Tiny Goes BigGetMobile: Mobile Computing and Communications10.1145/3511285.351128925:3(12-17)Online publication date: 11-Jan-2022
  • (2022)A scalable symbolic simulation tool for low power embedded systemsProceedings of the 59th ACM/IEEE Design Automation Conference10.1145/3489517.3530433(175-180)Online publication date: 10-Jul-2022
  • (2022)Generation of Formal CPU Profiles for Embedded Systems2022 IFIP/IEEE 30th International Conference on Very Large Scale Integration (VLSI-SoC)10.1109/VLSI-SoC54400.2022.9939572(1-6)Online publication date: 3-Oct-2022
  • (2022)Approximate Decision Trees For Machine Learning Classification on Tiny Printed Circuits2022 23rd International Symposium on Quality Electronic Design (ISQED)10.1109/ISQED54688.2022.9806213(1-6)Online publication date: 6-Apr-2022
  • Show More Cited By

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Figures

Tables

Media

Share

Share

Share this Publication link

Share on social media