skip to main content
10.1145/3125501.3125525acmotherconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
research-article

Quality-configurable memory hierarchy through approximation: special session

Published:15 October 2017Publication History

ABSTRACT

The memory subsystem is a major contributor to the overall performance and energy consumption of embedded computing platforms. The emergence of "killer" applications such as data-intensive recognition, mining, and synthesis (RMS) applications puts even more stress on the memory subsystem and exacerbates its energy consumption. Traditional mechanisms to ensure data integrity deploy overdesign (e.g., redundancy and error detection/correction) and/or guard-banding that consumes a significant part of the energy consumed in the memory subsystem. We explore opportunities for energy efficiency by exploiting the intrinsic tolerance of a vast class of approximate computing applications to some level of error in the on-chip memory hierarchy. We present two exemplars outlining the typical software and hardware mechanisms that are required for different components in the memory hierarchy, implemented in varying technologies such as SRAM and STT-MRAM.

References

  1. A. M. Monazzah et al. 2017. QuARK: Quality-configurable Approximate STT-MRAM Cache by Fine-grained Tuning of Reliability-Energy Knobs. In Proc. of ISLPED. Google ScholarGoogle ScholarCross RefCross Ref
  2. A. Ranjan et al. 2015. Approximate Storage for Energy Efficient Spintronic Memories. In Proc. of DAC. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. A. Raha et al. 2017. Quality Configurable Approximate DRAM. IEEE Trans. Comput. (2017).Google ScholarGoogle Scholar
  4. A. Sampson et al. 2013. Approximate Storage in Solid-state Memories. In Proc. of MICRO. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. B. Thwaites et al. 2014. Rollback-free Value Prediction with Approximate Loads. In Proc. of PACT. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. D. Jevdjic et al. 2017. Approximate Storage of Compressed and Encrypted Videos. In Proc. of ASPLOS. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. F. Sampaio et al. 2015. Approximation-aware Multi-Level Cells STT-RAM Cache Architecture. In Proc. of CASES. Google ScholarGoogle ScholarCross RefCross Ref
  8. G. P. Arumugam et al. 2015. Novel Inexact Memory Aware Algorithm Co-design for Energy Efficient Computation: Algorithmic Principles. In DATE.Google ScholarGoogle Scholar
  9. K. Cho et al. 2014. eDRAM-based Tiered-Reliability Memory with Applications to Low-power Frame Buffers. In Proc. of ISLPED. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. K. Lee et al. 2006. Mitigating Soft Error Failures for Multimedia Applications by Selective Data Protection. In Proc. of CASES. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. M. Shoushtari et al. 2015. Exploiting Partially-Forgetful Memories for Approximate Computing. IEEE Embedded Systems Letters (2015).Google ScholarGoogle Scholar
  12. N. Dutt et al. 2014. Multi-Layer Memory Resiliency. In Proc. of DAC. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. O. Kislal et al. 2016. Cache-Aware Approximate Computing for Decision Tree Learning. In Proc. of IPDPSW. Google ScholarGoogle ScholarCross RefCross Ref
  14. Q. Guo et al. 2016. High-Density Image Storage Using Approximate Memory Cells. In Proc. of ASPLOS. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. S. Ganapathy et al. 2015. Mitigating the Impact of Faults in Unreliable Memories for Error-resilient Applications. In Proc. of DAC. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. S. Liu et al. 2011. Flikker: Saving DRAM Refresh-power Through Critical Data Partitioning. In Proc. of ASPLOS. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Y. Fang et al. 2012. SoftPCM: Enhancing Energy Efficiency and Lifetime of Phase Change Memory in Video Applications via Approximate Write. In Proc. of ATS. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Y. Tian et al. 2015. ApproxMA: Approximate Memory Access for Dynamic Precision Scaling. In Proc. of GLSVLSI. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. J. S. Miguel et l. 2014. Load Value Approximation. In IEEE/ACM International Symposium on Microarchitecture.Google ScholarGoogle Scholar
  20. J. S. Miguel et l. 2015. DoppelgÄNger: A Cache for Approximate Computing. In Proc. of MICRO.Google ScholarGoogle Scholar
  21. J. S. Miguel et l. 2016. The Bunker Cache for Spatio-value Approximation. In Proc. of MICRO.Google ScholarGoogle Scholar
  22. F. Oboril, A. Shirvanian, and M. Tahoori. 2016. Fault Tolerant Approximate Computing using Emerging Non-volatile Spintronic Memories. In Proc. of VTS. Google ScholarGoogle ScholarCross RefCross Ref
  23. Martin Rinard. 2013. Parallel Synchronization-Free Approximate Data Structure Construction. In 5th USENIX Workshop on Hot Topics in Parallelism.Google ScholarGoogle Scholar
  24. Majid Shoushtari and Nikil Dutt. 2017. A Survey of Techniques for Approximate Memory Management. Technical Report CECS-TR-17--03. Center for Embedded and Cyber-physical Systems, University of California, Irvine.Google ScholarGoogle Scholar
  25. X. Xu and H. H. Huang. 2015. Exploring Data-Level Error Tolerance in High-Performance Solid-State Drives. IEEE Transactions on Reliability (2015).Google ScholarGoogle Scholar
  1. Quality-configurable memory hierarchy through approximation: special session

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Other conferences
      CASES '17: Proceedings of the 2017 International Conference on Compilers, Architectures and Synthesis for Embedded Systems Companion
      October 2017
      51 pages
      ISBN:9781450351843
      DOI:10.1145/3125501

      Copyright © 2017 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 15 October 2017

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate52of230submissions,23%

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader