skip to main content
10.1145/3132402.3132407acmotherconferencesArticle/Chapter ViewAbstractPublication PagesmemsysConference Proceedingsconference-collections
research-article

Thermal-aware, heterogeneous materials for improved energy and reliability in 3D PCM architectures

Published:02 October 2017Publication History

ABSTRACT

The properties of Phase-Change Memory (PCM) are defined in large part by the different chalcogenide materials employed. As the GeTe and Sb2Te3 ratios in the materials are changed, the operating temperatures needed for the phase change are also variable. Motivated by this phenomenon, we study the potential of exploiting different material compositions to achieve different trade-offs among the optimal operating temperatures, energy efficiency, write endurance and write latency. Specifically, we study the trade-offs for energy efficiency and lifetime in the scenario of using PCM materials for all layers of a 3D stack memory. Rather than a "one-memory-fits-all" approach, we propose Heterogeneous 3D PCM architectures by tailoring the Ge-Sb-Te ratios of PCM in concert with both the location and the intended function of these memories within the 3D stack. By varying the material compositions and their operating temperatures in correspondent with the non-uniform heat distribution across the stack, the heterogeneous PCM architectures improve the programming energy by up to 3.5X compared to the best homogeneous configuration. Moreover, the diversity in material compositions can also be exploited to protect error-correcting codes (ECC) by storing them in PCM materials with lower operating temperatures, which drastically reduces ECC early failures and brings a 30% improvement in the lifetime of the entire memory system. This architectural study attempts to make the case for exploring the whole material spectrum and the manufacturing cost associated with that.

References

  1. M. Awasthi, M. Shevgoor, K. Sudan, B. Rajendran, R. Balasubramonian, and V. Srinivasan. 2012. Efficient scrub mechanisms for error-prone emerging memories. In High Performance Computer Architecture (HPCA), 2012 IEEE 18th International Symposium on. 1 --12. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Zhaoxia Deng, Ariel Feldman Stuart A Kurtz Frederic, and T Chong. 2017. Lemonade from Lemons: Harnessing Device Wearout to Create Limited-Use Security Architectures. In Proceedings of the 44th Annual International Symposium on Computer Architecture. 361--374. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Zhaoxia Deng, Lunkai Zhang, Diana Franklin, and Frederic T Chong. 2015. Herniated hash tables: Exploiting multi-level phase change memory for in-place data expansion. In Proceedings of the 2015 International Symposium on Memory Systems. 247--257. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Zhaoxia Deng, Lunkai Zhang, Nikita Mishra, Henry Hoffmann, and Frederic T Chong. 2017. Memory Cocktail Therapy: A General Learning-Based Framework to Optimize Dynamic Tradeoffs in NVMs. In Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. X. Dong et al. 2012. NVSim: A Circuit-Level Performance, Energy, and Area Model for Emerging Nonvolatile Memory. In IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (Jul 2012). Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. M. Ghosh and H.-H.S. Lee. 2007. Smart Refresh: An Enhanced Memory Controller Design for Reducing Energy in Conventional and 3D Die-Stacked DRAMs. In Microarchitecture, 2007. MICRO 2007. 40th Annual IEEE/ACM International Symposium on. 134 --145. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. B. Gleixner, A. Pirovano, J. Sarkar, F. Ottogalli, E. Tortorelli, M. Tosi, and R. Bez. 2007. Data Retention Characterization of Phase-Change Memory Arrays. In Reliability physics symposium, 2007. proceedings. 45th annual. ieee international. 542--546. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. HotSpot 2015. http://lava.cs.virginia.edu/HotSpot/. (2015).Google ScholarGoogle Scholar
  9. Sungjun Im and K. Banerjee. 2000. Full chip thermal analysis of planar (2-D) and vertically integrated (3-D) high performance ICs. In Electron Devices Meeting, 2000. IEDM '00. Technical Digest. International. 727 --730.Google ScholarGoogle Scholar
  10. ITRS 2013. International Technology Roadmap for Semiconductors. (2013). http://www.itrs.net/.Google ScholarGoogle Scholar
  11. S. Kang et al. 2007. A 0.1- μm 1.8-V 256-Mb Phase-Change Random Access Memory (PRAM) With 66-MHz Synchronous Burst-Read Operation. Solid-State Circuits, IEEE Journal of 42, 1 (jan. 2007), 210 --218.Google ScholarGoogle Scholar
  12. Kinam Kim and Su Jin Ahn. 2005. Reliability investigations for manufacturable high density PRAM. In Reliability Physics Symposium, 2005. Proceedings. 43rd Annual. 2005 IEEE International.Google ScholarGoogle ScholarCross RefCross Ref
  13. A.L. Lacaita and D. Ielmini. 2007. Reliability issues and scaling projections for phase change non volatile memories. In Electron Devices Meeting, 2007. IEDM 2007. IEEE International. 157 --160.Google ScholarGoogle Scholar
  14. Gabriel H. Loh. 2008. 3D-Stacked Memory Architectures for Multi-core Processors. In Proceedings of the 35th Annual International Symposium on Computer Architecture (ISCA). Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Gabriel H. Loh, Yuan Xie, and Bryan Black. 2007. Processor Design in 3D Die-Stacking Technologies. Micro, IEEE 27, 3 (may-june 2007), 31 --48. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. M.K. Qureshi, M.M. Franceschini, and L.A. Lastras-Montano. 2010. Improving read performance of Phase Change Memories via Write Cancellation and Write Pausing. In High Performance Computer Architecture (HPCA), 2010 IEEE 16th International Symposium on. 1 --11.Google ScholarGoogle Scholar
  17. Moinuddin K. Qureshi. 2011. Pay-As-You-Go: low-overhead hard-error correction for phase change memories. In Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-44 '11). ACM, New York, NY, USA, 318--328. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. S. Raoux, G. W. Burr, M. J. Breitwisch, C. T. Rettner, Y.-C. Chen, R. M. Shelby, M. Salinga, D. Krebs, S.-H. Chen, H.-L. Lung, and C. H. Lam. 2008. Phase-change random access memory: A scalable technology. IBM Journal of Research and Development 52, 4.5 (july 2008), 465 --479. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Hebatallah Saadeldeen, Diana Franklin, Guoping Long, Charlotte Hill, Aisha Browne, Dmitri Strukov, Timothy Sherwood, and Frederic T Chong. 2013. Memristors for neural branch prediction: a case study in strict latency and write endurance challenges. In Proceedings of the ACM International Conference on Computing Frontiers. ACM, 26. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Stuart Schechter, Gabriel H. Loh, Karin Straus, and Doug Burger. 2010. Use ECP, not ECC, for hard failures in resistive memories. In Proceedings of the 37th annual international symposium on Computer architecture (ISCA '10). ACM, New York, NY, USA, 141--152. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Nak Hee Seong, Dong Hyuk Woo, V. Srinivasan, J.A. Rivers, and H.-H.S. Lee. 2010. SAFER: Stuck-At-Fault Error Recovery for Memories. In Microarchitecture (MICRO), 2010 43rd Annual IEEE/ACM International Symposium on. 115 --124. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. Kevin Skadron, Mircea R. Stan, Karthik Sankaranarayanan, Wei Huang, Sivakumar Velusamy, and David Tarjan. 2004. Temperature-aware microarchitecture: Modeling and implementation. ACM Trans. Archit. Code Optim. 1, 1 (March 2004), 94--125. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. D. Strukov. 2006. The area and latency tradeoffs of binary bit-parallel BCH decoders for prospective nanoelectronic memories. In Signals, Systems and Computers, 2006. ACSSC '06. Fortieth Asilomar Conference on. 1183 --1187.Google ScholarGoogle Scholar
  24. Hongbin Sun, Jibang Liu, R.S. Anigundi, Nanning Zheng, Jian-Qiang Lu, K. Rose, and Tong Zhang. 2009. 3D DRAM Design and Application to 3D Multicore Systems. Design Test of Computers, IEEE 26, 5 (sept.-oct. 2009), 36 --47. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. N. Yamada et al. 1990. Rapid-phase transitions of GeTe-Sb2Te3 pseudobinary amorphous thin films for an optical disk memory. In Journal of Applied Physics.Google ScholarGoogle Scholar
  26. Doe Hyun Yoon, N. Muralimanohar, Jichuan Chang, P. Ranganathan, N.P. Jouppi, and M. Erez. 2011. FREE-p: Protecting non-volatile memory against both hard and soft errors. In High Performance Computer Architecture (HPCA), 2011 IEEE 17th International Symposium on. 466 --477. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. Lunkai Zhang, Brian Neely, Diana Franklin, Dmitri Strukov, Yuan Xie, and Frederic T Chong. 2016. Mellow writes: Extending lifetime in resistive memories through selective slow write backs. In Computer Architecture (ISCA), 2016 ACM/IEEE 43rd Annual International Symposium on. IEEE, 519--531. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. Lunkai Zhang, Dmitri Strukov, Hebatallah Saadeldeen, Dongrui Fan, Mingzhe Zhang, and Diana Franklin. 2014. SpongeDirectory: Flexible sparse directories utilizing multi-level memristors. In Proceedings of the 23rd international conference on Parallel architectures and compilation. ACM, 61--74. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. Mingzhe Zhang, Lunkai Zhang, Lei Jiang, Zhiyong Liu, and Frederic T Chong. 2017. Balancing Performance and Lifetime of MLC PCM by Using a Region Retention Monitor. In High Performance Computer Architecture (HPCA), 2017 IEEE International Symposium on. IEEE, 385--396.Google ScholarGoogle ScholarCross RefCross Ref
  30. Wangyuan Zhang and Tao Li. 2009. Characterizing and mitigating the impact of process variations on phase change based memory systems. In Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. Wangyuan Zhang and Tao Li. 2009. Exploring Phase Change Memory and 3D Die-Stacking for Power/Thermal Friendly, Fast and Durable Memory Architectures. In Parallel Architectures and Compilation Techniques, 2009. PACT '09. 18th International Conference on. 101 --112. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. Wangyuan Zhang and Tao Li. 2011. Helmet: A resistance drift resilient architecture for multi-level cell phase change memory system. In Dependable Systems Networks (DSN), 2011 IEEE/IFIP 41st International Conference on. 197 --208. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Thermal-aware, heterogeneous materials for improved energy and reliability in 3D PCM architectures

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Other conferences
        MEMSYS '17: Proceedings of the International Symposium on Memory Systems
        October 2017
        409 pages
        ISBN:9781450353359
        DOI:10.1145/3132402

        Copyright © 2017 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 2 October 2017

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article
      • Article Metrics

        • Downloads (Last 12 months)5
        • Downloads (Last 6 weeks)2

        Other Metrics

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader