skip to main content
10.1145/3177540.3178242acmconferencesArticle/Chapter ViewAbstractPublication PagesispdConference Proceedingsconference-collections
research-article

Data Efficient Lithography Modeling with Residual Neural Networks and Transfer Learning

Published:25 March 2018Publication History

ABSTRACT

Lithography simulation is one of the key steps in physical verification, enabled by the substantial optical and resist models. A resist model bridges the aerial image simulation to printed patterns. While the effectiveness of learning-based solutions for resist modeling has been demonstrated, they are considerably data-demanding. Meanwhile, a set of manufactured data for a specific lithography configuration is only valid for the training of one single model, indicating low data efficiency. Due to the complexity of the manufacturing process, obtaining enough data for acceptable accuracy becomes very expensive in terms of both time and cost, especially during the evolution of technology generations when the design space is intensively explored. In this work, we propose a new resist modeling framework for contact layers that utilizes existing data from old technology nodes to reduce the amount of data required from a target lithography configuration. Our framework based on residual neural networks and transfer learning techniques is effective within a competitive range of accuracy, i.e., 2-10X reduction on the amount of training data with comparable accuracy to the state-of-the-art learning approach.

References

  1. Martín Abadi, Ashish Agarwal, Paul Barham, Eugene Brevdo, Zhifeng Chen, et al. 2015. TensorFlow: Large-Scale Machine Learning on Heterogeneous Systems. (2015). https://www.tensorflow.orgGoogle ScholarGoogle Scholar
  2. Yoshua Bengio, Patrice Simard, and Paolo Frasconi. 1994. Learning long-term dependencies with gradient descent is difficult. IEEE transactions on neural networks 5, 2 (1994), 157--166. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Xavier Glorot and Yoshua Bengio. 2010. Understanding the difficulty of training deep feedforward neural networks. In Proceedings of the Thirteenth International Conference on Artificial Intelligence and Statistics. 249--256.Google ScholarGoogle Scholar
  4. Ian Goodfellow, Yoshua Bengio, and Aaron Courville. 2016. Deep learning. MIT press. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Allan Gu and Avideh Zakhor. 2008. Optical proximity correction with linear regression. IEEE Transactions on Semiconductor Manufacturing (TSM) 21, 2 (2008), 263--271.Google ScholarGoogle ScholarCross RefCross Ref
  6. Josiah P Hanna and Peter Stone. 2017. Grounded Action Transformation for Robot Learning in Simulation. In AAAI. 3834--3840.Google ScholarGoogle Scholar
  7. Kaiming He, Xiangyu Zhang, Shaoqing Ren, and Jian Sun. 2016. Deep residual learning for image recognition. In Proceedings of the IEEE conference on computer vision and pattern recognition. 770--778.Google ScholarGoogle ScholarCross RefCross Ref
  8. Ningning Jia and Edmund Y Lam. 2010. Machine learning for inverse lithography: using stochastic gradient descent for robust photomask synthesis. Journal of Optics 12, 4 (2010), 045601.Google ScholarGoogle ScholarCross RefCross Ref
  9. Diederik Kingma and Jimmy Ba. 2014. Adam: A method for stochastic optimization. arXiv preprint arXiv:1412.6980 (2014).Google ScholarGoogle Scholar
  10. Lars Liebmann, Albert Chu, and Paul Gutwin. 2015. The daunting complexity of scaling to 7nm without EUV: Pushing DTCO to the extreme. In Proceedings of SPIE, Vol. 9427.Google ScholarGoogle Scholar
  11. Lars Liebmann, Jia Zeng, Xuelian Zhu, Lei Yuan, Guillaume Bouche, and Jongwook Kye. 2016. Overcoming scaling barriers through design technology CoOptimization. In VLSI Technology, 2016 IEEE Symposium on. IEEE, 1--2.Google ScholarGoogle ScholarCross RefCross Ref
  12. Lars W Liebmann, Scott M Mansfield, Alfred K Wong, Mark A Lavin, William C Leipold, and Timothy G Dunham. 2001. TCAD development for lithography resolution enhancement. IBM Journal of Research and Development 45, 5 (2001), 651--665. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Yibo Lin, Xiaoqing Xu, Jiaojiao Ou, and David Z Pan. 2017. Machine learning for mask/wafer hotspot detection and mask synthesis. In Photomask Technology, Vol. 10451. International Society for Optics and Photonics, 104510A.Google ScholarGoogle Scholar
  14. Rui Luo. 2013. Optical proximity correction using a multilayer perceptron neural network. Journal of Optics 15, 7 (2013), 075708.Google ScholarGoogle ScholarCross RefCross Ref
  15. Xu Ma, Xuejiao Zhao, Zhiqiang Wang, Yanqiu Li, Shengjie Zhao, and Lu Zhang. 2017. Fast lithography aerial image calculation method based on machine learning. Applied Optics 56, 23 (2017), 6485--6495.Google ScholarGoogle ScholarCross RefCross Ref
  16. Tetsuake Matsunawa, Shigeke Nojima, and Toshiya Kotani. 2016. Automatic Layout Feature Extraction for Lithography Hotspot Detection Based on Deep Neural Network. In Proceedings of SPIE.Google ScholarGoogle Scholar
  17. Tetsuaki Matsunawa, Bei Yu, and David Z Pan. 2016. Optical proximity correction with hierarchical Bayes model. Journal of Micro/Nanolithography, MEMS, and MOEMS 15, 2 (2016), 021009--021009.Google ScholarGoogle ScholarCross RefCross Ref
  18. Mentor Graphics. 2008. Calibre Verification User's Manual. (2008).Google ScholarGoogle Scholar
  19. Sinno Jialin Pan and Qiang Yang. 2010. A survey on transfer learning. IEEE Transactions on knowledge and data engineering 22, 10 (2010), 1345--1359. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Andrei A Rusu, Neil C Rabinowitz, Guillaume Desjardins, Hubert Soyer, James Kirkpatrick, Koray Kavukcuoglu, Razvan Pascanu, and Raia Hadsell. 2016. Progressive neural networks. arXiv preprint arXiv:1606.04671 (2016).Google ScholarGoogle Scholar
  21. Seongbo Shim, Suhyeong Choi, and Youngsoo Shin. {n. d.}. Machine Learning-Based Resist 3D Model. In Proc. of SPIE Vol, Vol. 10147. 101471D--1.Google ScholarGoogle Scholar
  22. Moojoon Shin and Jee-Hyong Lee. 2016. Accurate Lithography Hotspot Detection Using Deep Convolutional Neural Networks. In Journal of Micro/Nanolithography, MEMS, and MOEMS (JM3).Google ScholarGoogle Scholar
  23. Synopsys. 2016. Sentaurus Lithography. https://www.synopsys.com/silicon/mask-synthesis/sentaurus-lithography.html. (2016).Google ScholarGoogle Scholar
  24. Chin Boon Tan, Kar Kit Koh, Dongqing Zhang, and Yee Mei Foong. 2015. Sub-resolution assist feature (SRAF) printing prediction using logistic regression. In Proceedings of SPIE. 94261Y--94261Y.Google ScholarGoogle Scholar
  25. Yuki Watanabe, Taiki Kimura, Tetsuaki Matsunawa, and Shigeki Nojima. 2017. Accurate lithography simulation model based on convolutional neural networks. In SPIE Advanced Lithography. International Society for Optics and Photonics, 101470K--101470K.Google ScholarGoogle Scholar
  26. Jen-Yi Wuu, Fedor G Pikus, and Malgorzata Marek-Sadowska. 2011. Efficient approach to early detection of lithographic hotspots using machine learning systems and pattern matching. In SPIE Advanced Lithography. International Society for Optics and Photonics, 79740U--79740U.Google ScholarGoogle Scholar
  27. Xiaoqing Xu, Yibo Lin, Meng Li, Tetsuaki Matsunawa, Shigeki Nojima, Chikaaki Kodama, Toshiya Kotani, and David Z. Pan. 2017. Sub-Resolution Assist Feature Generation with Supervised Data Learning. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) PP, 99 (2017).Google ScholarGoogle Scholar
  28. Haoyu Yang, Yajun Lin, Bei Yu, and F.Y. Evangeline Young. 2017. Lithography Hotspot Detection: From Shallow to Deep Learning. In IEEE International System-on-Chip Conference (SOCC).Google ScholarGoogle Scholar
  29. Haoyu Yang, Jing Su, Yi Zou, Bei Yu, and F.Y. Evangeline Young. 2017. Layout Hotspot Detection with Feature Tensor Generation and Deep Biased Learning. In ACM/IEEE Design Automation Conference (DAC). Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Data Efficient Lithography Modeling with Residual Neural Networks and Transfer Learning

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in
        • Published in

          cover image ACM Conferences
          ISPD '18: Proceedings of the 2018 International Symposium on Physical Design
          March 2018
          178 pages
          ISBN:9781450356268
          DOI:10.1145/3177540

          Copyright © 2018 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 25 March 2018

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article

          Acceptance Rates

          Overall Acceptance Rate62of172submissions,36%

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader