skip to main content
10.1145/3194554.3194581acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
research-article

Utility Aware Snoozy Caches for Energy Efficient Chip Multi-Processors

Published:30 May 2018Publication History

ABSTRACT

Heavy leakage power consumption of on-chip last level caches (LLCs) has become the primary obstacle for architecting chip multi-processors (CMPs) in recent times. As leakage power has a direct relationship with the supply voltage, hence, periodic access profile based dynamic voltage scaling (DVS) in the LLC banks can be a promising option towards reducing this heavy cache leakage. A plethora of prior attempts have reduced this by anticipating working set size (WSS) of the applications and eventually putting some portions of the cache banks in low power mode. This proposed work aims to reduce leakage by putting a whole LLC bank into a low power (snoozy) mode through exploiting DVS at cache banks having minimal usages. Additionally, the resulting performance impacts of the low power snoozy mode are alleviated further by putting some snoozy banks in active mode on-demand. Experimental evaluations using full system simulation on a multi-banked 2MB 8-way set associative L2 cache show 10% more leakage savings on an average over a prior drowsy technique.

References

  1. A. Bardine et al. . 2007 a. Analysis of Static and Dynamic Energy Consumption in NUCA Caches: Initial Results. ACM MEDEA (Septemeber . 2007), 105--112. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. A. Mandke Dani et al. . 2011 a. Adaptive Power Optimization of On-chip SNUCA Cache on Tiled Chip Multicore Architecture using Remap Policy. Second Workshop on Architecture and Multi-Core Applications (2011), 12--17. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. B. Fitzgerald et al. . 2013. Drowsy Cache Partitioning for Reduced Static and Dynamic Energy in the Cache Hierarchy. International Green Computing Conference (IGCC) (June . 2013), 1--6.Google ScholarGoogle Scholar
  4. C Bienia et al. . 2008. The PARSEC Benchmark Suite: Characterization and Architectural Implications. Princeton University Tech. Rep. TR-811-08 (2008).Google ScholarGoogle Scholar
  5. G. Keramidas et al. . 2007 b. Applying decay to reduce dynamic power in set-associative caches. HiPEAC (2007), 38--53. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Hang-Sheng Wang et al. . 2002 a. Orion: a power-performance simulator for interconnection networks MICRO-35. 294 -- 305. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. K. Flautner et al. . 2002 b. Drowsy caches: simple techniques for reducing leakage power Proceedings of 29th Annual Int. Symp. on Comp. Arch. 148--157. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. K. Inoue et al. . 1999. Way-predicting set-associative cache for high performance and low energy consumption. In Proceedings of Int. Symp. on Low power electronics and design. ACM, 273--275. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. M. Loghi et al. . 2009. Tag overflow buffering: Reducing total memory energy by reduced-tag matching. IEEE Trans. on VLSI systems Vol. 17, 5 (2009), 728--732. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. M. Powell et al. . 2000. Gated-Vdd: A Circuit Technique to Reduce Leakage in Deep-submicron Cache Memories Proceedings of Int. Symp. on Low Power Electronics and Design. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. N. Binkert et al. . 2011 b. The Gem5 Simulator. ACM SIGARCH Computer Architure News Vol. 39, 2 (Aug. . 2011), 1--7. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. S. Dropsho et al. . 2002 c. Integrating adaptive on-chip storage structures for reduced dynamic power Proceedings of Parallel Architectures and Compilation Techniques. IEEE, 141--152. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Y. Guo et al. . 2011 c. Energy-efficient hardware data prefetching. IEEE Trans. on VLSI Systems Vol. 19, 2 (2011), 250--263. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Z. Huiyang et al. . 2003. Adaptive Mode Control: A Static Power Efficient Cache Design. ACM Trans. on Embedded Comp. Syst. Vol. 2, 3 (August . 2003), 347--372. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. H. K. Kapoor et al. . 2015. Static energy reduction by performance linked cache capacity management in Tiled CMPs ACM SAC. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. G. H. Loh . 2008. 3D-stacked memory architectures for multi-core processors 35th Int. Symp. on Comp. Arch. IEEE, 453--464. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Naveen M. et al. . 2008. CACTI 6.0: A Tool to Understand Large Caches. (2008).Google ScholarGoogle Scholar
  18. S. Mittal . 2014. A survey of architectural techniques for improving cache power efficiency. Sust. Comp.: Informatics and Systems Vol. 4, 1 (2014), 33 -- 43.Google ScholarGoogle ScholarCross RefCross Ref
  19. M. Rawlins and A. Gordon-Ross . 2011. On the interplay of loop caching, code compression, and cache configuration Proceedings of the 16th Asia and South Pacific Design Automation Conference. IEEE Press, 243--248. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. W Zang and A Gordon-Ross . 2013. A Survey on Cache Tuning from a Power/Energy Perspective. ACM Comput. Surv. Vol. 45, 3 (July . 2013), 32:1--32:49. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Utility Aware Snoozy Caches for Energy Efficient Chip Multi-Processors

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        GLSVLSI '18: Proceedings of the 2018 on Great Lakes Symposium on VLSI
        May 2018
        533 pages
        ISBN:9781450357241
        DOI:10.1145/3194554

        Copyright © 2018 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 30 May 2018

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        GLSVLSI '18 Paper Acceptance Rate48of197submissions,24%Overall Acceptance Rate312of1,156submissions,27%

        Upcoming Conference

        GLSVLSI '24
        Great Lakes Symposium on VLSI 2024
        June 12 - 14, 2024
        Clearwater , FL , USA

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader