skip to main content
10.1145/3195970.3195975acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

A machine learning framework to identify detailed routing short violations from a placed netlist

Published:24 June 2018Publication History

ABSTRACT

Detecting and preventing routing violations has become a critical issue in physical design, especially in the early stages. Lack of correlation between global and detailed routing congestion estimations and the long runtime required to frequently consult a global router adds to the problem. In this paper, we propose a machine learning framework to predict detailed routing short violations from a placed netlist. Factors contributing to routing violations are determined and a supervised neural network model is implemented to detect these violations. Experimental results show that the proposed method is able to predict on average 90% of the shorts with only 7% false alarms and considerably reduced computational time.

References

  1. M. Abadi and et all. 2015. TensorFlow: Large-Scale Machine Learning on Heterogeneous Systems. (2015). https://www.tensorflow.org/ Software available from tensorflow.org.Google ScholarGoogle Scholar
  2. I. Bustany, D. Chinnery, J. Shinnerl, and V. Yutsi. 2015. ISPD 2015 benchmarks with fence regions and routing blockages for detailed-routing-driven placement. In ISPD '15. 157--164. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. W. J. Chan, P. Ho, A. B. Kahng, and P. Saxena. 2017. Routability Optimization for Industrial Designs at Sub-14Nm Process Nodes Using Machine Learning. In ISPD '17. 15--21. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Y. Chang, Y. Lee, and T. Wang. 2008. NTHU-Route 2.0: A Fast and Stable Global Router. In ICCAD '08. 338--343. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. N. Chawla, N. Japkowicz, and A. Kotcz. 2004. Editorial: Special Issue on Learning from Imbalanced Data Sets. SIGKDD Explor. Newsl. 6, 1 (June 2004), 1--6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. H. He and E. A. Garcia. 2009. Learning from Imbalanced Data. IEEE Trans. on Knowl. and Data Eng. 21, 9 (Sept. 2009), 1263--1284. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. ISPD15. 2015. ISPD 2015 Blockage-Aware Detailed Routing-Driven Placement Contest. http://www.ispd.cc/contests/15/ispd2015_contest.html. (2015). Accessed: 2018-04-04.Google ScholarGoogle Scholar
  8. N. Karimpour Darav, A. Kennings, A. Tabrizi, D. Westwick, and L. Behjat. 2016. Eh?Placer: A High-Performance Modern Technology-Driven Placer. ACM TODAES 21, 3 (2016), 37:1--37:27. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. D. P. Kingma and J. Ba. 2014. Adam: A Method for Stochastic Optimization. CoRR abs/1412.6980 (2014).Google ScholarGoogle Scholar
  10. J. Lou, S. Krishnamoorthy, and H. S. Sheng. 2001. Estimating Routing Congestion Using Probabilistic Analysis. In ISPD '01. 112--117. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Mentor Graphics, Inc. 2015. Olympus-SoC place and route for advanced node designs. Technical Report. www.mentor.com/products/ic_nanometer_design/place-route/olympus-soc.Google ScholarGoogle Scholar
  12. M. Pan and C. Chu. 2007. IPR: An Integrated Placement and Routing Algorithm. In DAC '07. 59--62. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Z. Qi, Y. Cai, and Q. Zhou. 2014. Accurate prediction of detailed routing congestion using supervised data learning. In ICCAD '14. 97--103.Google ScholarGoogle Scholar
  14. J. Roy, N. Viswanathan, G. Nam, C. Alpert, and I. Markov. 2009. CRISP: Congestion Reduction by Iterated Spreading During Placement. In ICCAD '09. 357--362. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. C. Seiffert, T. M. Khoshgoftaar, J. Van Hulse, and A. Napolitano. 2010. RUSBoost: A Hybrid Approach to Alleviating Class Imbalance. Trans. Sys. Man Cyber. Part A 40, 1 (Jan. 2010), 185--197. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. D. Shi and A. Davoodi. 2017. TraPL: Track Planning of Local Congestion for Global Routing. In DAC '17. 19:1--19:6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. H. Shojaei, A. Davoodi, and J. Linderoth. 2013. Planning for Local Net Congestion in Global Routing. In ISPD '13. 85--92. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. H. Shojaei, A. Davoodi, and J. T. Linderoth. 2011. Congestion Analysis for Global Routing via Integer Programming. In ICCAD '11. 256--262. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. A. Tabrizi, N. Darav, L. Rakai, A. Kennings, and L. Behjat. 2017. Detailed routing violation prediction during placement using machine learning. In VLSI-DAT. 1--4.Google ScholarGoogle Scholar
  20. A. Tabrizi, N. Darav, L. Rakai, A. Kennings, W. Swartz, and L. Behjat. 2015. A Detailed Routing-Aware Detailed Placement Technique. In ISVLSI '15. 38--43.Google ScholarGoogle Scholar
  21. J. Andres Torres. 2012. ICCAD-2012 CAD Contest in Fuzzy Pattern Matching for Physical Verification and Benchmark Suite. In ICCAD '12. 349--350. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. L. Wang. 2017. Experience of Data Analytics in EDA and Test - Principles, Promises, and Challenges. Trans. Comp.-Aided Des. Integ. Cir. Sys. 36, 6 (June 2017), 885--898. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. L. Wang, P. Bastani, and M. Abadir. 2007. Design-silicon Timing Correlation: A Data Mining Perspective. In DAC '07. 384--389. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Y. Wei and et. all. 2012. GLARE: Global and Local Wiring Aware Routability Evaluation. In DAC '12. 768--773. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Jurjen Westra, Chris Bartels, and Patrick Groeneveld. 2004. Probabilistic Congestion Prediction. In ISPD. 204--209. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. J. Westra and P. Groeneveld. 2005. Is Probabilistic Congestion Estimation Worthwhile?. In SLIP '05. 99--106. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. D. Wolpert. 1996. The Lack of A Priori Distinctions Between Learning Algorithms. Neural Computation 8, 7 (1996), 1341--1390. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. Y. Xu, Y. Zhang, and Ch. Chu. 2009. FastRoute 4.0: Global Router with Efficient via Minimization. In ASPDAC '09. 576--581. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. Y. Yu, G. Lin, I. Jiang, and C. Chiang. 2013. Machine-learning-based Hotspot Detection Using Topological Classification and Critical Feature Extraction. In DAC '13. 67:1--67:6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. Q. Zhou, X. Wang, Z. Qi, Z. Chen, Q. Zhou, and Y. Cai. 2015. An accurate detailed routing routability prediction model in placement. In ASQED '15. 119--122.Google ScholarGoogle Scholar

Index Terms

  1. A machine learning framework to identify detailed routing short violations from a placed netlist

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in
        • Published in

          cover image ACM Conferences
          DAC '18: Proceedings of the 55th Annual Design Automation Conference
          June 2018
          1089 pages
          ISBN:9781450357005
          DOI:10.1145/3195970

          Copyright © 2018 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 24 June 2018

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article

          Acceptance Rates

          Overall Acceptance Rate1,770of5,499submissions,32%

          Upcoming Conference

          DAC '24
          61st ACM/IEEE Design Automation Conference
          June 23 - 27, 2024
          San Francisco , CA , USA

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader